查看原文
其他

半导体EDA产业深度研究报告:国产EDA迎黄金时代(下篇)

(报告出品方/作者:招商证券,鄢凡、卢志奇)

五、国产 EDA 公司深度梳理

1、把握国产 EDA 发展的黄金时代

国内 EDA 公司经过发展起伏期,已经逐渐进入正轨,社会资本和国家政策双重激励下,以及当前国产替代的产业环 境中,半导体全产业链有望协同发展,共同支持和打造全流程的国产化 EDA 工具,从海外 EDA 行业的发展路径看, EDA 的发展需要半导体行业制造、设计、封装等各个环节的协同合作,方能打造出完善的全流程 EDA 工具链。我们 认为,国产 EDA 行业已经步入黄金发展期,各个细分环节工具厂商如雨后春笋般兴起,并逐渐完善国内 EDA 生态。

2、EDA 行业估值探讨

考虑到国内 EDA 公司均未上市,我们参考海外主要的 EDA 龙头企业 Synopsys、Cadence 和 Ansys 等公司的股价 走势及估值情况。从三家的历史股价走势看,基本均实现了数十倍的涨幅,属于名副其实的长牛股,这与 EDA 行业 属性息息相关,我们在本报告第二部分已有讨论:

1)EDA 行业需求系半导体研发驱动,全球半导体行业过去数十年的蓬勃发展与 EDA 行业互相成就;

2)EDA 行业的商业模式为软件授权费用,客户群体稳定后每年的收入利润相对比较稳定,并且周期性较弱,以 Synopsys 年收入为例,即使经历 08 年金融危机后,Synopsys 在 2009 财年收入端仍然实现了 1.73%的增长;

3)EDA 公司的成长史亦是并购史:公司发展过程中不断收购和整合各类点工具,最终形成强大的平台化企业。


从估值角度看,Synopsys 和 Cadence 上市时 PE 和 PS 均较高,而且即使其成为国际 EDA 寡头垄断的龙头企业, 当前 PE 和 PS 均处于历史较高水平。

海内外估值对比方面,国内典型的工业软件企业的 PE/PS 估值优于海外 EDA/CAD 公司,从海内外主要的 EDA/CAD 企业的收入体量可见,国内 EDA 企业仍有较大的成长空间。

3、已提交 A 股上市材料 EDA 公司

华大九天:模拟/平板显示全流程 EDA 工具提供商

华大九天成立于 2009 年,其前身是中国华大集成电路设计集团有限公司的 EDA 部门,具三十年的技术积累。2009 年,中国华大集成电路设计集团有限公司与国投高科技投资有限公司共同投资,将华大 EDA 部门独立出来并成立华 大九天。成立前后,华大九天发布了多款具有重要意义的 EDA 产品,尤其是在液晶平板显示领域,华大九天更是全 球唯一可提供全流程 EDA 设计解决方案的提供商。

同时,在发展过程中,公司不断进行市场开拓,逐渐积累大批优质客户,包括知名集成电路设计企业、晶圆制造企业 和平板厂商客户等。公司的客户群体主要为国内用户,其中 K1 连续三年为华大九天的第一客户,2018-2020 年对其 销售额份额占营收收入的 15.63%、37.59%、32.48%。

公司聚焦于 EDA 工具的开发、销售及相关服务业务,是目前国内规模最大、产品最全、综合技术实力最强的本土 EDA 企业。公司产品情况如下:

(1)模拟/数模混合 IC 设计全流程

华大九天的数模混合信号 IC 设计平台(Empyrean Aether)能为用户提供了从电路到版图、从设计到验证的一站式 完整解决方案。其能与高性能并行电路仿真工具(Empyrean ALPS),波形查看工具(iWave),高性能精准物理验 证工具(Empyrean Argus) 及大容量寄生参数提取分析工具(Empyrean RCExplorer)无缝集成。Empyrean Aether 基于 Open Access 的标准数据格式,支持业界标准的 iPDK,可与客户原有设计数据平滑转换。Empyrean ALPS 高 性能并行电路仿真工具,是大规模电路尤其是先进工艺的后仿真的最佳选择,可帮助用户大幅缩减产品开发周期。

(2)数字 SoC IC 设计与优化

华大九天提供了一系列数字 SoC 设计优化工具,支持 7+/7nm 先进工艺,帮助用户保证设计质量,提高设计效率, 免去项目延宕忧虑,保证既定的流片安排。目前,数字 SoC 设计与优化工具已被列入国内外多家世界级设计公司的 标准设计流程,国内市场占有率 85%以上。被华为海思、紫光展锐、兆芯、Marvell、TSMC、中芯国际、长江存储、 NVIDIA、XILINX、SanDisk、三星等近百家客户采用。

(3)晶圆制造专用 EDA 工具

随着晶圆制造企业的技术改进升级,制造复杂度越来越高,EDA 工具对于制造良率提升、工艺平台建设越来越重要。华大九天针对晶圆制造厂的工艺开发和 IP 设计需求,提供了相应的晶圆制造 EDA 工具,包括器件模型提取工具 Empyrean XModel®、存储器编译器开发工具 SMCB™、单元库特征化提取工具 Empyrean Liberal®、单元库/IP质量验证工具 Empyrean Qualib®、版图集成与分析工具 Empyrean Skipper®以及模拟电路设计全流程 EDA 工具系统, 为晶圆制造厂提供了重要的技术支撑。

(4)平板显示设计全流程

华大九天提供平板设计FPD的全流程解决方案,包含电路仿真工具套件EsimFPD;基本版图设计工具AetherFPD LE;高级版图设计工具 AetherFPD LEAD;异形版图设计工具 AetherFPD LEXP;3D RC 提取分析工具套件 RCExplorerFPD;版图验证工具套件 ArgusFPD;面板级版图分析工具套件 ArtemisFPD;掩膜分析模拟工具套件 EmapFPD。所有工具都被有机的整合在华大九天设计平台,使 FPD 设计流程变得高效平滑,确保设计质量,提升设 计效率。

公司正处于业务成长期,营收保持高增速。目前我国 EDA 市场仍主要被国外三巨头占据,华大九天在国内市场占比 约为 6%,为国际三巨头之后的第四大 EDA 厂商,具有一定的市场地位。2018-2020 年公司营收分别为 1.51、2.57、 4.15 亿元,CAGR 为 65.9%。分地区看,公司的营收主要来自于国内,但随着公司产品竞争力的提升,国外营收占 比也在逐渐增加。2018-2020 年,公司国外营收则为 0.02、0.10、0.29 亿元,占比为 1%、4%、7%。


公司主要业务可分为 EDA 软件销售与技术开发服务。EDA 软件业务主要包括包括模拟电路设计全流程 EDA 工具系 统、数字电路设计 EDA 工具、平板显示电路设计全流程 EDA 工具系统和晶圆制造 EDA 工具等系列。而技术开发则 是通过签订服务合约的方式向设计与制造客户提供相关的技术支持。公司以 EDA 软件销售为主,但近年来占技术开 发服务占营收比已由 2018 年的 7.07%提升至 2020 年的 15.04%,复合增长率达 145.84%。主要原因系:1)公司的 研发经验与技术逐渐积累与迭代,促使单笔合同平均金额上升;2)公司维系旧客户、拓展新客户,促进合同数量的 增加。价量提升共同推动技术开发业务的增长。


EDA 行业为技术驱动型行业,公司成本主要为研发费用。公司近三年的期间费用率为 90.5%、89.3%、75.6%。期间 费用率处于较高水平,主要为高研发费用率导致,近三年公司研发费用率分别为 49.8%、52.5%、44.2%,公司重视 建立自身技术壁垒,对主营产品持续投入。2020 年,公司的研发费用率略有下降,主要原因是公司此前的研发投入 成效显著带来营收增长,同时由于管理活动的规模效应逐渐显现,管理费用率也有明显的下降,两者共同导致 2020 年期间费用率的下降。


高效管理促进净利率有所回升,净利润增长提速。得益于良好的管理能力,公司净利率有所回升,2018-2020 年,公 司的净利率分别为 32.2%、22.2%、25.0%,而净利率则增长迅速,2018-2020 年,公司净利润分别为 0.49、0.57、 1.04 亿元,增速由 2019 年的 17.8%上升至 2020 年的 81.2%,获利能力大幅增强。

概伦电子:深耕器件建模及仿真工具,客户覆盖海外晶圆制造商

公司于 2010 年成立,是大规模高精度集成电路仿真、高端半导体器件建模、半导体参数测试解决方案的供应商。2019 年底,公司并购北京博达微科技,并于 2020 年初完成由兴橙资本和 Intel 资本共同领投的 A 轮融资。

公司在器件建模和电路仿真领域深耕多年,积累了丰厚的技术成果,同时积累了国内外大量知名客户。近年来,公司 国际竞争力的提升使其在全球范围内已形成较为稳固的市场地位,得到全球领先晶圆厂的广泛使用,包括台积电、三 星电子、联电、格芯、中芯国际等全球前十大晶圆代工厂中的九家。同时,公司也开始注重国内市场的开拓,国内客 户营收占比由 2018 年的 20.4%提升至 2020 年 46.6%。

公司产品主要分为仿真、建模和测试的 EDA 解决方案。各类产品保持与最先进工艺的紧密同步,技术直达 5nm。工 程中心完成过多项完整的 Foundry 工艺设计平台建设项目,包括 55nm,28nm,14nm 等。其中,概伦电子的电路仿 真和验证 EDA 解决方案已被业内先进的芯片设计公司采用,在大规模存储电路设计领域得到高度认可。数十家国内 外设计公司采用 NanoSpice 产品用于存储器,CIS,模拟和大规模数字 SoC 的设计和验证。而公司的器件建模和电 路仿真 EDA 工具也被全球大部分先进存储器厂作为标准工具所采用,成为其核心供应商和合作伙伴。

此外,概伦电子的 SPICE 仿真器 NanoSpice 在今年三月宣布通过三星代工厂 14 纳米 LPP 工艺技术认证。搭载 NanoSpice 的三星设计工具包现已面向全球设计公司开放使用。NanoSpice 是概伦电子推出的新一代高精度、高性 能并行 SPICE 仿真器,满足高精度、大容量和高性能等高端电路严苛的仿真需求。NanoSpice 卓越的并行技术可同 时处理多达 5 千万个以上电路元件的通用电路仿真。NanoSpice 系列还包括 GigaSPICE 仿真器 NanoSpcie Giga 及 FastSPICE 仿真器 NanoSpice Pro,可满足包含十亿电路元件的大容量、全芯片存储器及 SoC 设计的仿真需求。

受益于行业景气与直销模式转变,公司营收大幅增长。2018-2020 年,概伦电子的营收分别为 0.52、0.65、1.37 亿 元,CAGR 为 62.7%,营收增速明显,主要原因系:1)下游 IC 设计与 IC 制造产业技术迭代速度快,设计日益复杂 化,催生了大量的 EDA 工具需求;2)公司 2019 年末收购的博达微于 2020 年贡献了 0.32 亿元的营收。


分地区看,公司早期以国外客户为主,后国内业务迅速发展。2018-2020 年公司国外营收分别为 0.41、0.46、0.73 亿元,CAGR 为 33.4%,国内业务营收分别为 0.10、0.18、0.64 亿元,CAGR 为 153.0%。在我国政府大力支持集 成电路产业的发展下,国内营收迅速增长。同时收获台积电、三星电子、美光科技、SK 海力士、联电、格芯、中芯 国际、长鑫存储等国内外半导体知名客户。

分业务看,公司最主要的业务为 EDA 工具授权业务,2018-2020 年,该业务营收分别为 0.43、0.55、0.95 亿元,占 比分别为 84.69%、85.70%、69.22%。2020 年,其营收快速增长,主要原因为国内外晶圆制造厂的需求扩大以及公 司并购博达微后产生的产品良性协同;半导体器件特性测试仪器的营收分别为 69 万元、588 万元、2443 万元,营收 增速较快;半导体工程服务营收则分别为 714 万元、336 万元、1772 万元,占比较小,略有波动。


扣除非经常性损益影响后,公司期间费用率保持稳定。由于股权激励计划的影响,2018-2020 公司期间费用率均存在 一定比例的上升。2019 年与 2020 年,股权激励确认的股权支付费用分别为 88740 万元、465 万元。在扣除股权支 付营收后,公司费用率基本保持稳定。其中,研发费用为公司主要的费用支出,近三年研发费用率分别为 36.8%、54.6%、 36.1%,公司研发人员也从 47 人增长至 90 人。


扣除股权支付影响后,公司 2019 年的净利润扭亏为盈。2018-2020 年公司归母净利润分别为-0.08、-8.77、0.29 亿 元,在剔除掉股权支付费用等非经常性损益后,公司净利润于 2019 年实现扭亏为盈,2018-2020 公司扣非净利润为 -0.07、0.03、0.21 亿元,CAGR 为 91.6%。

广立微:聚焦良率提升工具及电性测试方案提供商

杭州广立微电子有限公司成立于 2003 年,是一家专为半导体业界提供性能分析和良率提升方案的领先供应商。公司 旨在从 IC 设计到量产整条产业链内实现芯片性能、成品率、稳定性的提升,成功案例已覆盖 180nm-5nm 工艺技术 节点。公司最初专注于半导体良品率的提升,在发展过程中逐渐由国内集成电路市场走向国际市场,产品系 列与市场占有率也逐年增加。现如今,公司业务已覆盖中国大陆、中国台湾地区以及亚洲主要半导体地区。

公司主要产品包括参数化单元创建工具 SmtCell®、测试芯片设计平台 TCMagic®、可寻址测试芯片设计平台 ATCompiler®、WAT 和测试芯片数据的分析工具 DataExp®、一站式 RF 数据管理平台 DataExp RF。

其中 SmtCell®可以为芯片制造公司、设计公司及 IDMs 创建多种类型的参数化单元,用户可以在创建 SPICE/reliability/RF/ process/ yield 相关的测试结构(MOS transistor, inductor, capacitor, resistor, via chain, comb snake, SRAM bit cell 等)时获得至少 10 倍的效率增益。

TCMagic®为设计划片槽和 MPW 测试芯片提供完整的解决方案。其在单一平台上提供版图设计自动化、设计文档和 测试程序自动生成。

ATCompiler® 提供了一个完整的大型可寻址及划片槽内可寻址测试芯片的设计解决方案,功能包括版图自动化生成、 全芯片仿真和验证、同一平台下的设计文档和测试程序的自动生成等。可寻址测试芯片包括了可寻址 IP 和测试结构。

DataExp®提供了非常方便的数据过滤和选择操作、强大的绘图功能与自动生成分析报告功能,可以用于方便地分析 数据并快速构建多种不同类型的图表来完成 WAT 或 In-line 数据的分析报告。

公司产品高质量、高稳定性、高创新性的特点,使得公司在发展过程中受到国内外一线厂商认可,与产业链内多家龙 头企业的合作也逐渐深化。现阶段,公司的客户涵盖三星电子等 IDM 厂商,华虹集团、粤芯半导体、合肥晶合、长 鑫存储等 Foundry 厂商以及部分 Fabless 厂商。而根据公司招股说明书,2018-2020 年,公司对前五大客户的销售额 分别为 0.30 元、0.63、1.06 亿元,占营业总收入的比重分别为 97.1%、95.2%、85.9%,客户优质但集中度较高。

从财务上看,2018-2020 年,广为微的营业收入分别为 0.31、0.66、1.24 亿元,CAGR 为 99.4%,公司正处于成长 期,现阶段营收规模较小,但增速明显。分业务看,公司主营 EDA 软件的开发与销售,2018-2020 年,公司软件技 术开发的营收分别为 0.12、0.30、0.61 亿元,营收占比分别为 37.5%、45.5%、49.2%,CAGR 为 125.4%,绝对数 值与相对数值均在不断增长。测试机及配件业务此前营收规模与占比均较小,但 2020 年该业务营收迅速增长至 0.31 亿元,占比也由 2018 年的 18.8%升至 25.0%。此外,公司软件工具授权业务增长稳定,由 2018 年的 0.11 亿元增长 至 2020 年的 0.30 亿元,CAGR 为 65.1%。


公司毛利率保持稳定,净利率与净利润逐渐优化。公司近三年的毛利率分别为 91.6%、92.0%、85.3%,多年保持高 位稳定的态势,这主要是因为公司的支出主要在于研发费用而非营业成本,行业特性决定公司天然拥有高毛利率。而 在发展早期,由于公司营收端尚未放量,费用端研发投入较大,导致公司 2018 年净利率为-32.0%,而在 2019 与 2020 年,营收的大幅增长稀释了费用端的支出,净利率实现由负转正,分别为 28.7%、40.2%。公司的净利润也由 2018 年的-0.10 亿元增加至 2020 年的 0.50 亿元。


4、国内其他 EDA 公司

思尔芯:前端设计验证及原型验证工具提供商

思尔芯成立于 2004 年 1 月,并在 2018 年被国微集团所收购,主要从事 EDA 行业中验证工具的开发与销售,业务 聚焦于集成电路电子设计前端的验证业务,致力于打造 EDA 数字全流程工具平台。公司现已累计服务全球超过 500 家客户,涵盖国际知名的 IC 设计厂商、系统设计企业以及各类研究机构。国微思尔芯现有主要产品是原型验证系统 和模块,通过自主开发的仿真编译软件、仿真运行软件、仿真调试软件和仿真加速硬件,采用建模验证、形式验证、 软件仿真、门级仿真、硬件仿真、原型验证等多种先进验证方法学,并借助仿真验证云等多种技术手段,达到芯片设 计环节中的功能验证目的,协助芯片开发者发现芯片设计中的缺陷并确保芯片功能的正确性,有效缩短芯片开发周期, 提高芯片投片成功率。

2021 年 5 月 26 日,国微思尔芯(S2C)发布架构设计解决方案“Genesis 芯神匠”。该产品提供一站式软硬件协同 建模平台,用于解决设计师无法建模的难题,帮助其快速设计出高效能、低功耗的产品架构,加快产品上市时间。芯 神匠提供的一站式平台,支持架构设计、软件开发、硬件协同设计、功能验证、系统检测等,将架构设计师的多种需 求集成在一个平台上进行,最大化模型复用率。除此之外,Genesis 运用不同抽象层次的建模技术,让使用者能够对 IP、SoC、系统等不同层级进行效能和功耗分析。

鸿芯微纳:后端设计布局布线 EDA 工具提供商

深圳鸿芯微纳技术有限公司成立于 2018 年,国微集团参股并管理,是一家从事国产数字集成电路 EDA 研发、生产 和销售的公司,致力于在广阔的工艺节点和应用领域,为全球集成电路设计业提供全方位的解决方案和技术服务。

Aguda 是鸿芯微纳技术有限公司的主要产品,其用于芯片设计中的布局布线,也是目前国内唯一能够提供完备的数 字集成电路物理设计解决方案的国产 EDA 工具。产品涵盖从 Netlist-In 到 GDS-Out 完整的电子设计自动化流程,从 布局、预布线、布局优化、时钟树综合、时钟树优化、详细布线、顶层集成的全部技术。

芯和半导体:射频、封装、系统仿真类

EDA 工具提供商 芯和半导体创建于 2010 年,前身为芯禾科技,是国产 EDA 行业的领军企业之一。提供覆盖 IC、封装到系统的全产 业链仿真 EDA 解决方案,致力于赋能和加速新一代高速高频智能电子产品的设计。公司在今年宣布完成超亿元人民 币 B 轮融资,由上海赛领领投,上海物联网基金增持。此外,公司还获得 2021 年度中国 IC 设计成就奖之“年度技术 突破 EDA 公司奖”。芯和半导体在后摩尔时代 EDA 设计流程中布局深远,芯和半导体覆盖芯片、封装到 PCB 板级的 仿真类 EDA 工具,并在数字、模拟和 3DIC 仿真环节都布局了业界领先的工具。

公司专注电子设计自动化 EDA 软件、集成无源器件 IPD 和 SiP 设计服务。其中,EDA 产品可分为四大解决方案。

高速仿真解决方案:包括 SnpExpert-S 参数处理和分析工具,ViaExpert-三维过孔模型抽取工具,CableExpert-电缆 建模和仿真工具,TmlExpert-传输线建模和仿真工具,ChannelExpert-链路仿真和分析工具,Heracles-高速信号自动验收流程工具。

芯片仿真解决方案:包括 IRIS-射频芯片设计的验证工具,IRIS Plus-射频和微波电路电磁场仿真软件,iModeler-无源 器件 PDK 抽取工具,iVerifier-无源器件 PDK 验证工具。

高级封装仿真解决方案:包括 HERMES SI-封装和板级信号完整性分析工具,Metis-三维封装和芯片联合仿真工具。

云平台仿真解决方案:包括 JobQuene-仿真项目统一管理工具,LibManager-模型库管理系统。

凭借强大产品竞争力,公司从最初的点工具开始,渐渐进入到 EDA 生态中,并在发展中不断深入产业,逐渐和 Cadence、 Synopsys 等 EDA 厂商形成竞合关系,更在某些业务上形成了长期的合作伙伴关系。

芯和通过十年的研发形成了一整套从芯片、封装到板级的完整仿真 EDA 解决方案,芯和的全产业链仿真 EDA 打通了 后摩尔时代 IC 设计的所有仿真节点:

在先进工艺端,芯和的 IRIS 和 iModeler 工具在通过了各大晶圆厂的主流工艺的认证,提供了业界顶尖的片上芯片建 模和仿真能力,保障芯片级的 PPA;

在先进封装端,芯和的 Metis 和 Hermes 工具从 BGA 到 wafer-level 封装到 2.5D/3D IC,乃至到新出现的芯片 3D堆叠的转接板,都提供了完善的仿真分析能力。

此外,跟随着后摩尔时代以系统集成设计为发展的方向,芯和在支持好以上两块内容的同时,构建了“电子系统建模 仿真分析”EDA 平台,包括射频系统分析平台和高数数字系统分析平台等,从系统集成设计和分析的角度来帮助设计 师提升各种电子产品的 PPA,缩短产品上市周期。

珂晶达:聚焦半导体制造类 EDA 工具,并延伸至空间辐射及效应仿真

苏州珂晶达电子有限公司(Cogenda)成立于 2011 年,从事科学计算软件开发,集成电路辅助设计软件开发和相关 的技术服务,主要服务于半导体 Foundry 和 Fabless 厂商,航天、国防行业元器件厂商,大专院校和研究院所,客户 已遍及国内、欧美和亚太区域。公司的主要产品包括半导体器件和工艺仿真(TCAD)软件;辐射环境、输运和效应 仿真分析软件;多物理数值仿真软件;三维网格划分和数据可视化软件等专业软件。

百万网格 TCAD 仿真——Genius:Genius 是跨越晶体管壁垒的商业 TCAD 仿真软件,它使得使一些电路单元的仿 真变得可能,比如反相器、6 管 SRAM、锁存器和触发器,且仿真时间可以降低一个数量级,极大的提高了仿真效率。

可视化的 Genius——Visual TCAD:Visual TCAD 是器件仿真软件 Genius 的图形化用户界面,致力于提高易用性, 以满足初级 TCAD 用户和学生的需求。

三维 TCAD 建模工具——Gds2Mesh:Gds2Mesh 是一个三维 TCAD 模型构建工具,它可以导入 GDSII 版图,然 后结合预定义、可定制的工艺规则来生成三维器件模型。

工艺仿真实验平台——VisualFab:VisualFab 是一个工艺仿真实验的综合管理平台,其设计理念来源于 Fab 工程师 的工作方式,比如工艺模块、分批、晶圆、分批表。VisualFab 使得用户可以通过非常友好的图形界面来检查,设计 晶圆分批实验。

空间轨道器件辐射效应预估软件——CRad:CRad 是预估卫星轨道上单粒子效应 (SEE) 发生率, 位移损伤 (TDD) 和总剂量效应 (TID) 的软件。是 ForeCAST 的专业版。相比于 ForeCAST,CRad 的功能模块可根据用户需求定制, 对于飞行器的屏蔽计算也由一维升至三维。

高能粒子蒙特卡罗仿真——
GSeat/VisualParticle:Gseat 是高能粒子在半导体器件中传输的蒙特卡罗仿真软件,也 是珂晶达公司单粒子效应解决方案的一个组件。其可以与半导体器件仿真软件 Genius 和三维建模软件 Gds2Mesh 无缝地结合,一起构成珂晶达公司的单粒子效应机理分析解决方案。而 VisualParticle 则是 GSeat 的图形用户界面, 用户可以设置入射高能粒子的参数,并选择模拟中的参数,也可以自由地拖动查看粒子传输的三维径迹。

芯瑞微(Physim):电磁仿真工具提供商

芯瑞微公司成立于 2019 年底,研发及运营总部位于深圳市南山区,在上海及南京均设有分公司及研发团队。公司的 管理与研发团队雄厚,拥有世界级的优秀工程师团队。芯瑞微公司 CEO 兼首席科学家郭安宇博士在 EDA 行业耕耘 40 余年,是 IEEE, ASME 等协会的高级成员,专攻电磁仿真和电热联合仿真领域,拥有多项技术专利。郭博士曾在 Cadence 公司担任研发总裁,之前任 Apache 和 Sigrity 公司研发总裁及首席架构师。

在产品上,芯瑞微公司以电磁仿真软件作为突破口,逐步扩展到其他物理场领域,为国内先进的芯片及系统设计公司 保驾护航。公司推出的电磁仿真软件 ACEM 支持主流的芯片封装结构,包括并不限于 Flip-Chip、QFN、WBBGA、 HBPOP 及 PCB 板级设计,可帮助用户实现 SI/PI 的信号完整性设计。ACEM 也可以应用在天线仿真领域,支持贴片 天线、圆极化微带天线及天线阵列结构和雷达的 RCS 仿真。

九同方:射频芯片设计全流程工具提供商

公司成立于 2011 年,致力于研发完整的射频 EDA 系列软件,产品的完整性全国领先,技术指标达到国际水平。公 司团队研发经验丰富,核心研发团队与管理团队内拥有多名 EDA 领域资深架构师和集成电路设计业界资深专家,具 有资深行业经验与国际化事业,其中留美博士 16 人。

九同方目前有完整的射频 EDA 产品布局,主营业务为射频 EDA 软件,主要包括 ePCD、eWave、eSpice、eSim、 eRF、eViewer、eSchema 等多款软件,后续将会逐渐切入到电、热、光、磁等宏观场景的综合仿真,是国内可对标 Ansys 的公司,无源器件建模工具 ePCD 可以实现 VeloceRF 的国产化替代。

若贝:前端设计电路代码编辑、仿真及波形查看工具提供商

若贝(Robei)公司成立于 2014 年,其创始人吴国盛在美国丹佛大学获得计算机工程硕士学位后,先后在美国 Wolfram Research Inc 担任图像处理测试工程师和美国硅谷的赛灵思(Xilinx)担任高级软件工程师,具丰富行业经验。公司 拥有 Robei EDA,自适应芯片、若贝八角板三大产品。

其中,Robei EDA 工具具备可视化架构设计、算法编程、结构层自动代码生成、编译仿真与波形查看等功能。设计完 成后可以自动生成完整 Verilog 代码。可视化分层设计架构可以让工程师边搭建边编程,具备例化直观,无需记忆引 脚名称,减少错误。

自适应芯片则拥有全新的高性能低功耗处理器架构,能在性能要求苛刻的情况下达到低功耗高性能计算的最优化,同 时自适应芯片具备动态可重构功能,实现微秒到纳秒级别全部或部分动态重构。

若贝八角板是一款 FPGA 开发板,可以用于系统设计与教育教学、竞赛、IC 验证、系统控制、挖矿、云计算等用途。其尺寸小,为 68x68mm。其功能齐全,非常适合 FPGA 开发者使用与携带,是为硬件工程师量身定做的便携式开发 环境。

奥肯思:电子电气设计自动化和管理信息化方案与服务提供商

奥肯思(Acconsys)科技有限公司成立于 2002 年,是国内领先的电子电气设计自动化和管理信息化方案与服务提 供商。公司通过自主开发和产品集成,为智能制造行业提供研发工程数据管理平台,在智慧院所建设以及智能制造行 业已经有了多个项目成功实施。公司业务包括三维模型库及其应用、EDMPro™ —电子电气设计管理系统平台、信息 化咨询。

三维模型库及其应用:三维模型库是电子设计资源库不可或缺的部分,在基本的符号封装库建立之后,三维模型库的建立是设计技术管理发展的必然,电子元器件的三维模型不仅能用于电子设计,还能用于如下方面:(1)数字化样 机,全数字化样机的建立与管理是机电产品研发与数据管理的必然趋势,为适应这个发展趋势,需要为产品零件建立 适用的三维模型。(2)机电协同,电子设计与结构设计的协同需求使得电子元器件精确的三维模型的建立变得必要, 三维模型可以同时在 PCB 设计环境和结构设计环境中使用,并以此协调双方的设计要求。



(本文仅供参考,不代表我们的任何投资建议)


文琳编辑

免责声明:转载内容仅供读者参考,观点仅代表作者本人,不构成投资意见,也不代表本平台立场。若文章涉及版权问题,敬请原作者添加 wenlin-swl  微信联系删除。


为便于研究人员查找相关行业研究报告,特将2018年以来各期文章汇总。欢迎点击下面红色字体查阅!


文琳行业研究 2018年—2021年8月文章汇总


文琳编辑

今日导读:点击下面链接可查阅

公众号 :文琳行业研究

  1. 2021年智能汽车行业深度报告

  2. 汽车行业专题报告:大众汽车未来10年转型战略的启示

  3. 理想汽车专题研究报告:精准定位,打造智能化爆款车型

  4. 小鹏汽车深度解析:智能电动先锋,脚踏实地有望厚积薄发

  5. 新能源汽车产业专题报告:特斯拉,全球智能电动技术持续引领者

  6. 新能源汽车换电站产业研究:换电站运营,下一个千亿蓝海

  7. 汽车连接器产业分析:电动化&智能化驱动成长

  8. 2021中国二手车金融与生态报告

文琳行研报告,为各机构提供专业的信息、数据、研究和咨询服务。欢迎关注【文琳行业研究】


《文琳阅读》每晚经典,欢迎关注!

文琳编辑

今日导读:点击下面链接可查阅

公众号 :文琳阅读

  1. 口德决定命运

  2. 事关电价,国家发改委刚刚通报!影响多大?

  3. 你的身材,完全出卖了你的生活层次!

  4. 100个最具中国文化的汉字

  5. 难得一见的纪录片《禅的世界》之三: 禅的艺术之美,一窥日本禅门修行,

  6. 音乐欣赏:治愈系英文歌曲《Darlin》循环一整天!

  7. 成都必吃的18碗面

  8. “止痛药”用英语怎么表达,注意不是“stop pain medicine”





继续滑动看下一个
向上滑动看下一个

您可能也对以下帖子感兴趣

文章有问题?点此查看未经处理的缓存