查看原文
其他

EUV是实现7nm的唯一技术?

2018-03-14 半导体行业观察


在推动每一个后续半导体节点的发展方面,光刻技术的进步一直都起着至关重要的作用。


由于预见到浸没式光刻技术在比例缩放能力方面的限制,这个行业一直在追求下一代光刻工艺。业界已提出了多种工艺,包括极紫外光刻(EUV)、多电子束光刻、纳米压印光刻和嵌段共聚物定向自组装(DSA)。


从 21 世纪初的最初发展开始,业界主要半导体制造商便对 DSA 表示出了极大的兴趣,并给予了持续多年的关注。


但部分由于业界对 EUV 光刻技术进行了大量密集投资,该技术获得了长足发展,致使 DSA 在一定程度上淡出了人们的视野。DSA 材料和加工工艺的近期发展,让人们看到攻克导致该技术应用滞后的问题的希望。


选择适当的光刻工业不必成为二者选其一的命题。充分利用 EUV 光刻和 DSA 这二者的优势,可能会成为最大的机会。尽管这两项技术之间有时看起来存在相互竞争,但将二者视为互补技术的观点则更为可取。


本文阐述了光刻技术会如何受益于充分利用 EUV 和 DSA 这两种技术,而且之前存在的障碍应不再是问题。


EUV还欠火候?

过去几十年,半导体产业在摩尔定律的指导下获得了高速的发展,为了满足摩尔定律“同等面积芯片集成的晶体管数每18个月翻一番”的要求,晶圆厂一直在推动工艺制程的更新。但随着节点的演进,产业界普遍认为传统的光刻将会在65nm或者45nm的时候遭受到障碍,为此他们寻找新的解决办法,EUV就是他们的主要选择。



所谓EUV,是指波长为13.5nm的光。相比于现在主流光刻机用的193nm光源,新的EUV光源能给硅片刻下更小的沟道,从而能实现在芯片上集成更多的晶体管,进而提高芯片性能,继续延续摩尔定律。


芯片行业从20世纪90年代开始就考虑使用13.5nm的EUV光刻(紫外线波长范围是10~400nm)用以取代现在的193nm。EUV本身也有局限,比如容易被空气和镜片材料吸收、生成高强度的EUV也很困难。业内共识是,EUV商用的话光源功率至少250瓦,Intel还曾说,他们需要的是至少1000瓦。


除了光刻机本身的不足之外,对于EUV光刻机系统来说,仍然有一些问题需要被解决的。

 

首先就是光罩问题;

 

据介绍,EUV所用的光罩和193nm浸没式光刻的光罩完全不同,它们由使用了数十种不同材料的纳米层组成。根据数据调查显示,过去12个月来,光罩制造商已经制作了1041个EUV光罩,光罩良率目前仅为64.3%。但同期间曝光的主流的光罩数达到46万2792个,平均良率高达94.8%。因此如何提升光罩良率和成本问题,就成为他们考虑的首要问题。

 

其次EUV薄膜问题也不能忽略;

 

虽然现在EUV设备都是处于超洁净环境中,但是在制造过程中,灰尘是无可避免地产生的。如果有一点回城掉到光罩上,则会造成很大的损失。现在主流光罩的薄膜是透明的,能够经受得起考验,但是目前的EUV薄膜是不透明的,那就需要超薄型的薄膜去制造透明的EUV薄膜,能够抵挡EUV光刻机的震荡和相关干扰对光罩造成的影响。

 

还有一个重要的问题是目前没有很好的方法去检测光罩的缺陷


因此虽然目前英特尔、台积电、三星和格芯(格罗方徳)都在积极准备7nm工艺,但是要用上EUV显然还有很行的道路要走。


为了帮助行业到达顶峰,半导体工程已经考虑了多种7nm可能方案与设计灵感。


7nm工艺有多种方案,各个芯片制造商可能沿着不同的方向发展,但总体来讲,这个行业主要考虑以下四个成像方案:


1.芯片制造商没有使用7nm 工艺的EUV技术,而是仅仅使用浸没式/多重成像。

2.芯片制造商首先使用浸没式/多重成像,然后,在必要的位置使用EUV技术。

3.芯片制造商同时使用浸没式/多重成像和EUV。

4.芯片制造商使用其他技术,如DSA和多光束。


下面我们着重介绍的是DSA技术。


另一条路:材料决定图形化

DSA是运用材料内部的自然机制来产生有序结构。在这些材料内部的自然机制中,如在高分子材料中形成的条纹状结构,可透过改变化学成份来适当地调整,使其实现纳米级功能,进而能被用来强化光学和超紫外光(EUV)光刻。  


和大多数掩膜版决定图案的光刻技术不同,在 DSA 技术中,图案存在于材料本身。DSA 的原嵌段共聚物聚合了聚苯乙烯(PS)和聚甲基丙烯酸甲酯(PMMA)这两种在单独的阶段彼此自然分离的聚合物。调整 PS 和 PMMA 在 PS-b-PMMA 材料中的相对比例,可以将其形态从球形改变为圆柱形,再变为层状(见图1)。弗洛里相互作用参数的乘积χ 和片段长度决定了有序结构的间距。χ 的值越高,最终结构之间的间距越小。


图1:以合成函数表达的一般嵌段共聚物的形态 当 A 部分的片段增加时,结构会从球形改变成圆柱形,再变成层状。L0:特征域长度尺度,N:链段数量


标准 PS-b-PMMA 材料的 χ 值相对较低,从而将间距限制在 20nm 或更大。部分材料制造商正在考虑用 PS-b-PMMA 之外的化合物来制备高 χ 值嵌段共聚物,采用聚二甲基硅氧烷或聚羟基苯乙烯来替代聚甲基丙烯酸甲酯。修饰 PS-b-PMMA 是另一种增加 χ 值得方法。这种方法可以微调 χ 值、分子量和玻璃转化温度,从而在不同退火条件下实现间距为 14nm 到 40nm 之间的层状结构。


该嵌段共聚物沉淀的流程非常直接。旋涂在基材上的中性层允许嵌段共聚物在热退火过程中分离为各自的域。中性层之所以支持域分离,是因为其与嵌段共聚物中聚合物链都没有类同关系。聚合物域分离负责图案形成。


工艺考量

DSA 沉淀工艺采用了两大基本方法之一。它可藉由使用嵌段共聚物(block copolymer)来实现倍频。当以适当的预图形模式连结时,便可直接指示图案方向,整体而言,DSA有助于减少最终印刷结构的间距。此外,DSA也能用于修复缺陷和修复原有印刷使其更加均匀。  


制图外延法利用表面特征来对其嵌段共聚物,并将其沉淀在相对较深的沟道内。导向图形确定了沟道的结构,从而将嵌段共聚物限制在能够以首选方向进行对准的配置内。化学气相外延法则根据平旦基材上的化学图形,嵌段共聚物在该基材上进行自对准。


图2:影调反转制图外延法(TIGER)工艺示例,包括光刻、DSA 沉淀和采用含硅抗反射涂层(Si-HM)的蚀刻。


图3:DSA 化学气相外延法 Liu-Nealey (LiNe) 流程。


制图外延法和化学气相外延法都是半导体行业正在追求的方法,前者更适合制备细间距过孔,而后者则适合创建平行线组合。


退火温度介于 250°C 至 275°C 之间,这与标准半导体加工工艺相兼容。退火步骤的时间比较长,创建足够低缺陷率的结构需要最长两小时,从而增加了该工艺的成本。


PS-b-PMMA 嵌段共聚物已然投入大批量制造。该材料目前在全球各个应用领域的使用量为 110 万吨。该数量超出了整个半导体行业的需求。因此,虽然目前尚无针对半导体行业的商业化制造的 DSA 材料,但基础设施已经就位,当这个行业准备好时,便可扩大适合的材料的生产规模。



现在 DSA 为何具有吸引力

DSA在2007年首次以关键层微影领先技术之潜力解决方案的角色出现在国际半导体技术蓝图(ITRS)。该技术也被认为能作为下一代微影候选技术的补充,如超紫外光(EUV) 微影和奈米压印微影等。


半导体行业的主要参与者最初相信 DSA 能够进入 14nm 至 7nm 逻辑节点之间的任何一个点的商业化生产,对 DRAM 而言甚至会更早;但目前还尚未通过。根据 2016 DSA 研讨会的一项调查显示,该技术仍未能成为主流,且在未来几年也不会成为主流。但部分 IDM 希望加速该过程,他们有理由相信,这不但可能实现,而且还是他们所希望看到的局面。


通过将波长降至 193nm, 浸没式光刻技术实现了最低 80nm 的线宽和间距。通过多重光刻/蚀刻叠加,自对准四倍图案等工艺能够创造出甚至更小的特性,但需要增加光刻步骤,每个步骤都需要定制化的掩膜。


浸没式光刻正在接近其极限,同时也为下一代光刻技术创造了机会。10nm 至 30nm 范围内的关键尺寸设计,为这些先进的技术创造了一个最有效击球点。


EUV 光刻技术的发展是引导业界对其青睐有加、从而令 DSA 失色的因素之一。与前几代的产品相比,如今的 EUV 材料具有更大的敏感性,因此需要的 UV 计量更低;而且线粗糙度也得到了改进。EUV 光刻能够创造出 30nm 或 40nm 间距的过孔,这对浸没式光刻而言是不可能实现的。


DSA 甚至能够实现比半导体行业当前需求更细的分辨率。特性规模正在接近 DSA 能够尤为高效的水平。如果这些趋势继续,该技术将在这个十年内被普遍采用。


可以说,DSA提供了另一种达成精密组件曝光的解决方案;让制造厂能探索极简投资的可能;并且在最终量产阶段可符合成本效益。



DSA 和 EUV:配合使用将更出色?

最有效的解决方案可能是充分利用 EUV 和 DSA 的优势,让这两种技术配合使用。两种方法都能实现与 N7 和 N5 逻辑节点相兼容的分辨率水平。EUV 光刻非常适合多个不同间距的图像化设计,最低刻实现 30nm 左右的线宽和间距。但对如此细致的间距而言,所需的掩膜步骤数量可能会令该技术的尤为昂贵。局部关键尺寸均匀性(LCDU)也可能成为一个问题,尤其是在高吞吐率的情况下。


硬掩膜光刻的最初流程与 EUV 和 DSA 都一样,但在图形化处理过程中出现了分叉。嵌段共聚物沉淀后,DSA 可实现 30nm 特性尺寸,而无需额外的掩膜。退火过程将两个阶段自然分离成正确的形态。但 DSA 流程最适合单间距设计。


EUV 可用于在集成电路上图形化较低分辨率的特性,并未后续 DSA 沉淀创造间隔。这个以组合带来了最大的设计灵活性,同时优化了加工流程,消除了工艺步骤并减低了掩膜成本。LCDU 还比单独使用 EUV 更好。


DSA 最适合具有多次重复、常规细间距功能的设备。因此,它很可能首先得以在 DRAM 存储中实现,随后迁移到逻辑设备上的过孔层中使用。制图外延法, 尤其是使用 EUV 沉淀间隔,能够使用 DSA 实现更为复杂的设计,在这种设计中,芯片的不同区域要求不同的间距。这估计会成为逻辑芯片的首选方法。


尽管同时利用 DSA 和 EUV 前景良好,半导体行业只能在供应商让 IDM 相信这些材料已经克服其技术限制后,才能迁移至该方法。DSA 面临着多项挑战,从而令其应用出现了滞后:主要问题包括缺陷、图形布局精度、整合制造流程的便利性和成本。但有理由保持客观,因为化学和加工方法的发展进行会改善所有这些指标。



克服技术挑战

但即使是最热心的支持者也不得不承认,就算是在最佳情况下,DSA技术也得经过很多年才能被用於CMOS量产。在DSA技术必须克服的数百种量产障碍中,缺陷密度仅是其中一种而已。


 2016 DSA 研讨会调查将缺陷列为了最大的技术挑战。缺陷与成本相关,退火时间越长,缺陷水平就越低。退火最少五分钟可以让两个阶段分离,但制造出来的材料缺陷率太高,不合适商用。


晶圆通常一次只能为一个退火,这令退火成本非常高昂。然而,近期采用立式炉进行批量退火的研究展示出了削减成本方面的极大前景。通过同时对 150 个晶圆退火 30 分钟,研究人员成功展示出了足够低的缺陷水平,而且退火成本也低于自对准四倍图案工艺。


同时使用 DSA 和 EUV 具有缓解图形布局错误的问题。例如,EUV 光刻能够为双过孔创造预制图形孔。两个过孔在 EUV 过程中会合并,但随后在 DSA 过程中会自动自动分离。如果没有 DSA,则需要额外的光刻步骤来避免过孔合并。


当过孔形状为优化状态时,同时将 EUV 和 DSA 用于细间距过孔的这个方法最为可靠。研究表明,花生形状最适合创造图形布局错误风险最低的双过孔,即使是颇具挑战的 N5 界节点,而非椭圆形。



总结

半导体行业在光刻技术方面拥有大量经验,但 DSA 需要转变思维模式。嵌段共聚物材料并非这个行业习以为常的事物,材料和工艺的变化,如果是革命性的,而非渐进式的,则会面临阻力。DSA 需要在实体设备上进行展示,才能吸引半导体市场的注意。


在嵌段共聚物方面经验丰富的半导体行业材料供应商和化学公司之间的通力合作,是弥合这一缝隙的一条途径。DSA 和 EUV 应被视为互补性技术,而非相互竞争的技术,二者最终将会在 N7 节点及以上领域成为细间距光刻技术的主流趋势。与之前单一组织的努力不同,与材料和化学公司建立合作关系能够实现平稳过渡。


文/半导体行业观察 刘燚


今天是《半导体行业观察》为您分享的第1527期内容,欢迎关注。

R

eading

推荐阅读(点击文章标题,直接阅读)

特朗普正式宣布:拒绝博通以任何形式收购高通

工艺节点的战国时代

胡正明最新分享:晶体管微缩会终结吗?



关注微信公众号 半导体行业观察,后台回复关键词获取更多内容

回复 面板,看更多面板行业的文章

回复 比特币,看更多与比特币、挖矿机相关的文章

回复 晶圆,看晶圆制造相关文章

回复 士兰微,看更多与士兰微公司相关的文章

回复 ISSCC,看《从ISSCC论文看半导体行业的走势》

回复 华为,看更多与华为公司相关的文章

回复 封装,看更多与封装技术相关的文章

回复 A股,看更多与上市公司相关的文章

回复 展会,看《2017最新半导体展会会议日历》

回复 投稿,看《如何成为“半导体行业观察”的一员 》

回复 搜索,还能轻松找到其他你感兴趣的文章!

点击阅读原文了解摩尔精英

您可能也对以下帖子感兴趣

文章有问题?点此查看未经处理的缓存