查看原文
其他

本源发布Qurator-VSCode量子程序开发插件 带来更加智能高效的量子程序开发体验

OriginQ 本源量子 2021-02-13

插件(Plug-in)作为程序开发、应用的配套工具,在现代软件领域扮演着越来越重要的角色。因为具备快速、易用、易修改、可维护性强等特点,无论是在专业开发者还是普通受众群中,都得到了较为广泛的认可和使用。



由于当前开发量子程序存在一定门槛,导致量子程序开发远没有传统编程计算那般方便快捷。如何降低量子程序开发的准入门槛并赋予量子程序开发者更智能高效的开发方式?这是本源量子软件团队一直在思考的问题,经过长时间的调研和准备,我们欣喜地带来了量子程序开发插件—— Qurator-VSCode

Qurator是本源量子软件团队基于Visual Studio Code编辑器开发的量子程序开发插件,能够满足用户进行量子程序开发的更高需求。Qurator通过本源量子提供的QRunes2量子编程语言和已经发布的QPanda2以及pyQPanda量子应用框架进行量子程序开发。

Qurator能够提供良好的编程开发环境,相对于市场上已经有的量子编程开发环境,Qurator更加高效智能,可提高开发效率。其中,量子程序具体实现模块支持对量子比特门的循环(qwhile),分支(qif)等逻辑结构的操作,并可在运行时转换成Python或C++代码。

为了实现Qurator插件,本源量子软件开发团队调研了目前相关量子编程开发现状,发现目前同类量子编程开发环境模式单一,且无法做到具体量子功能代码直接与经典编程语言(比如C++、Python)联动编译运行,不能满足用户多样化的编程需求。而量子计算机在近期的目标是通过NISQ(Noisy Intermediate-Scale Quantum,含噪声的中型量子)设备来实现量子计算的优势,通常实现量子计算优势的一种方式是实现经典-量子混合算法。

本源量子研发的QRunes2是一种专为经典-量子混合算法设计的量子语言,所以Qurator插件根据QRunes2语言的特性,做到对经典编程语言和量子语言QRunes2混合编程的编译运行支持。

Qurator具有诸多特性,比如能够帮助用户及时自动更新QPanda2和pyQPanda开发包,具有检测量子代码错误以及自动补全等功能。这些功能能够帮助用户更好的熟悉QRunes2语法,并帮助用户更快的发现错误并了解QPanda2和pyQPanda的功能,通过这些功能,用户可以将更多注意力放在量子程序设计上,提升开发效率。

您可以在Visual Studio Code的extensions上搜索qurator-vscode,点击安装即可使用。或者您也可以直接点击VSCode Market官网进行Qurator-VSCode的下载安装。

https://marketplace.visualstudio.com/items?itemName=Qurator.qurator-vscode

在后续的开发过程中,本源量子将会积极收集开发者反馈,持续丰富Qurator的功能,并支持更多的量子编程语言,敬请期待!对于使用过程中遇到的问题,也欢迎在本源量子互动论坛与我们交流!

https://qcode.qubitonline.cn/qcode/forumtopic/community.html

关于本源量子

本源量子是中国第一家量子计算公司,总部位于合肥高新区,并在合肥市经开区和深圳设有分支机构。本公司立足国内、面向全球,依托我国第一家量子信息省部级重点实验室中科院量子信息重点实验室,结合郭国平教授团队连续十年承担的国家科技部量子芯片超级973项目,以量子计算机的量子芯片、量子计算测控一体机、量子操作系统、量子软件和量子云平台开发研制等为核心业务。本源量子正致力于量子信息技术产业的蓬勃发展,将科研成果转化为推动社会进步的科技力量,将量子计算机广阔的应用前景变为现实,努力成为量子计算行业的引领者。

更多信息,请访问:

http://www.originqc.com.cn/

往期精彩回顾

本源量子推出一款高阻带抑制、低插入损耗的铜粉滤波器

工作在量子与经典交互面的元件——低温带通滤波器

本源量子推出集成的有源Bias-Tee耦合器

新品发布|本源开放量子应用框架pyQPanda

【新品】国内首款量子软件开发包QPanda 2.0 升级发布!

国内首款量子软件开发包QPanda 2.0 升级发布!

本源量子成功实现64比特量子仿真打破IBM Q记录

本源量子云平台APP iOS版正式发布啦!

欢迎加入本源量子计算产业联盟OQIA

本源量子

用量子技术追溯科技‘本源’!

    您可能也对以下帖子感兴趣

    文章有问题?点此查看未经处理的缓存