查看原文
其他

14纳米节点的绝地反击【中芯国际·深度报告】中国芯系列深度报告之三!

西南电子 陈杭 半导体风向标 2019-05-23

深度报告之一《正在崛起的中国芯制造》:http://t.cn/ROrzPDf

深度报告之二《28nm节点的深蹲起跳》:http://t.cn/RjrFIrP

深度报告之三《14nm 节点的弯道超车》:http://t.cn/RlbMjze

70页泛半导体深度PDF:http://t.cn/RH8XXql

独家半导体投资时钟:http://t.cn/RQLFfGW

投资要点

l14纳米比原计划提前半年投产,资金,技术,管理三位一体。 公司最近宣布与中国集成电路产业基金和上海集成电路产业基金合资成立中芯南方,注册资本由原来的2.10亿美元增加至35亿美元,专注14纳米及以下先进制程研发和量产。中芯南方按照规划于2018年度完成厂房建设和清洁室装修,目标2019年上半年投产。双CEO梁孟松和赵海军给中芯带来了雄厚的技术水平和先进的管理模式,加强了研发队伍的建设,调整更新了14纳米FinFET 规划,将3D FinFET工艺锁定在高性能运算、低功耗芯片应用。中芯国际在先进工艺方面追赶的步伐加大,相信未来14nm的量产将是中芯国际营收的一个重要节点。

lFinFETFD-SOI是解决14纳米及以下制程的关键工艺。相较于更高制程技术,14纳米制程的晶体管沟道更深、更薄、彼此之间距离更近,因此半导体性能、功耗有了大幅提高。随着制程的降低,栅极对电流控制能力急剧下降,会出现电流泄露问题。FinFET利用3D结构减小栅极宽度的同时降低漏电率,FD-SOI相对于Bulk CMOS主要多了一层叫做埋氧层的超薄绝缘层位于基硅顶部,用于形成一个超薄的晶体管通道,极大地降低了泄漏电流。

l中芯国际有望对联电和格芯实现弯道超车,与台积电差距呈缩小趋势。英特尔2014年在14nm上使用了第二代FinFET结构,并且其14纳米技术性能优于其他所有竞争者的14纳米工艺技术。三星和台积电在2015年分别量产了14纳米和16纳米技术,技术实力旗鼓相当。联华电子和格罗方德均在2017年才开始量产14纳米工艺,从14纳米技术的量产时间上看,中芯国际14纳米技术和联电和格芯仅有不到两年的差距,中芯未来有望实现弯道超车,成为仅次于台积电全球第二大纯晶圆代工厂。随着摩尔定律的放缓,中芯在28纳米以下先进制程与台积电年限差距呈缩小趋势。

估值与评级:中芯28nm在2017年的收入占比中超预期达标,28nm良率提升在即,14nm研发加速进行,预计在2019年初量产,叠加公司的战略地位,我们认为应给予一定的估值溢价。2017年公司每股净资产为1.06美元,对应当前PB为1.3倍,综合考虑PE和PB,参考可比公司平均PB,最终给予公司2018年1.7倍PB估值,对应股价为14.73港元,维持“买入”评级。

1资金、技术、管理三位一体,中芯14纳米进展顺利

1.1 中芯国际可以提供完整的成熟制程和先进制程技术解决方案

中芯国际是世界上为数不多的几个可以提供完整的从成熟制程到先进制程的晶圆制造解决方案的纯晶圆代工厂之一。中芯国际0.35微米到28纳米工艺制程都已进入量产,14纳米FinFET工艺正在研发中。根据HIS数据,2016年中芯国际在全球纯晶圆代工市场占比达6%,位居第四。相较于其他晶圆代工厂,中芯国际拥有为客户提供先进制程和成熟特殊制程的一站式服务、持续在工艺研发和IP开发进行投资、产能利用率保持在较高水平等众多优势。

目前,市面上的主要IDM企业和晶圆代工企业都已经成功量产60纳米以上的成熟制程,45/40纳米工艺依旧有十多家企业成功量产,到28纳米制造工艺仅有5家纯晶圆代工企业和5IDM企业成功量产,20纳米以下先进制程仅有三星、英特尔两家IDM企业和台积电、联电、格罗方德、中芯国际四家代工厂可以量产。10纳米以下先进制程技术仅被英特尔、三星、台积电掌握。中芯国际作为中国大陆唯一可以量产28纳米技术的晶圆代工厂,在16/14纳米和7纳米先进制程技术上的研发力度也不断加大,16/14纳米将在2019年量产。

从应用角度上看,CPU/GPU/FPGA等逻辑运算设备对先进制程的需求最为强烈,制程的每一次推进,都会带来逻辑运算设备运算速度的大幅提升。因此业内同行都在关注高级数字逻辑领域的竞争。射频/混合信号对先进制程的需求略微比逻辑设备弱一点,用于射频/混合信号的先进制程可达28纳米。55纳米及以上制程主要用于各类智能卡,如SIM卡、银行卡等。65纳米及以上制程主要应用于相机、平板等设备的图像传感器,0.13微米及以上制程主要应用于手机和平板等电源管理设备。中芯国际的战略是引领选定的差异化技术,同时继续对先进的数字逻辑技术进行精心投资.

目前中芯国际拥有8个晶圆厂,在上海有一个12英寸晶圆加工厂和一个8英寸晶圆加工厂,其中12英寸晶圆厂主要服务于45纳米及以下的先进制程,产能为18K/mth8英寸晶圆厂主要提供0.11-0.35微米制程服务,产能达到114K/mth。中芯国际在北京拥有212英寸大型晶圆厂,其中一个提供55-90纳米及0.13微米制程服务,产能为50K/mth,另一个拥有28/40/45纳米先进制程的12英寸晶圆厂产能为27.5K/mth。除此之外,中芯国际在天津和深圳各拥有一个8英寸加工厂,主要提供0.11/0.13-0.35微米制程服务,产能分别为47K/mth32K/mth。深圳另外一座服务于55/65纳米的12英寸晶圆厂目前处于设备安装阶段,不久之后将投入使用。另外,中芯国际在意大利有一个控股的8英寸晶圆厂,提供90纳米-0.18微米制程服务,产能为40K/mth

总体来看,中芯国际产能充足,已投产的312英寸晶圆厂每个月给中芯国际贡献95.5K的产能,48英寸晶圆厂每个月贡献233K的产能。另外,中芯国际拥有全球领先的产能利用率,常年保持85%以上的产能利用率,超过行业平均产能利用率。20152016年更是达到了101%98%的产能利用率,充分显示了中芯国际在兼顾先进工艺和成熟特殊工艺时仍能保持工厂满载的战略规划。

中芯国际非常注重自主研发,成立单独的研发部门,持续在技术创新和知识产权方面增加投入2016年,研发人员超过1000人,研发投入为318.2百万美元,占营业收入的10.9%2017年研发投入为427百万美元,占营业收入的13.7%2016516日,中芯国际与加州大学河滨分校,北京大学和上海微电子研究院共同成立静电保护联合设计中心,研发业界一流的先进ESD保护设计方法,特别是先进的FinFET技术和无线通信电路的片上或混合ESD保护技术的研发。

2013-2015年间,中芯国际在先进节点上对第三方IP投资上来看,28纳米投资超50%,反映了中芯国际强攻28纳米的战略决策。从2016年开始,中芯国际在14纳米FinFET上对第三方IP的投资占比超过50%,说明28纳米技术日渐成熟,14纳米技术研发日程被中芯国际提到了相当重要的位置。

中芯国际在14纳米技术开发中,建立了具有所有预期工艺特性和成品率学习工具的CMOS工艺流程,其器件性能和可靠性接近目标,实现了多临界电压方案,并展示了SRAM位单元功能。中芯国际在14纳米及以上的的FinFET专利申请中排名世界前五。

截至2016年底,中芯国际累计专利申请数量达到13417件,其中6603件已获授权,专利数量在中国同行公司中位列前五名。此外,根据汤森路透(Thomson Reuter)关于“2016创新状态”的报告,中芯国际在汤森路透(Thomson Reuter)和德温特(Derwent)世界专利指数中名列前十大全球创新半导体行业的第七名。中芯国际在亚洲半导体材料和工艺创新中排名第四(2011-2015年)。2017年,中芯国际又新增专利授权862项,在2017中国专利排行榜上位列第十位。

1.2 中芯国际百亿美元强攻14nm

2018130日,中芯国际公布公司旗下中芯南方拟增资扩股,使其注册资本由2.10亿美元增加32.9亿美元至35亿美元。由公司全资附属中芯控股现金出资15.44亿美元,国家集成电路基金现金出资9.47亿美元,上海集成电路基金现金出资8亿美元。各方应在2018630日前完成各自待出资额的30%,在20181231日前完成各自待出资额的30%,在2019630日前完成各自待出资额剩余的40%

各订约方对中芯南方的投资总额估计为102.4亿美元,订约方将以注资方式出资合共35亿美元的投资总额。投资总额102.4亿美元与注资后的经扩大注册资本35亿美元的差额计划通过债务融资拨付。注资后,公司通过中芯控股和中芯上海在中芯南方的股权比例由从100%减至50.1%;及国家集成电路基金和上海集成电路基金分别拥有中芯南方27.04%22.86%的股权。通过将与国家集成电路基金和上海集成电路基金以合资形式建立12英寸晶圆厂,公司可以在政府产业基金的支持下,加快引进先进的制造工艺和产品,亦减轻公司因先进制程产能扩充而产生的巨额现金投资和巨大折旧成本。

中芯南方是配合中芯国际14纳米及以下先进制程研发和量产计划而建设的具备先进制程产能的12英寸晶圆厂。主要从事集成电路芯片制造、针测及凸块制造,与集成电路有关的技术开发、设计服务、光掩膜制造、装配及最后测试,并销售自产产品。

中芯南方预期在2018年度完成厂房建设和无尘室装修,预计2019年会有设备资本支出。先阶段拥有的14nm研发设施已经具备3500片的月产能,第二阶段会达到6000/月,第三阶段会达到9000/月。中芯南方目标将在2019年上半年投产,产品将有更高效能表现,成本较低,容易转移技术及融入设备中使用。

1.3 关键人物的加入助力14nm

20171016日,中芯国际宣布赵海军和梁孟松担任中芯国际联合首席执行官兼执行董事。这是中芯国际第一次采取Co-CEO制度,在中国半导体企业中也是首例。梁孟松博士毕业于美国加州大学伯克利分校电机工程及电脑科学系,博士论文指导教师是FinFET的发明者胡正明教授。梁孟松博士在半导体业界有着逾三十三年经历,从事内存储存器以及先进逻辑制程技术开发,拥有逾450项半导体专利,曾发表技术论文350余篇。梁孟松在任职于台积电或是三星时,均为其在职企业带来了技术的突破。任职台积电时,台积电在130纳米工艺击败IBM2011年加入三星后,三星的制程演进路线由32纳米/28纳米Planner技术直接跳阶到14纳米FinFET技术,并在2014年底开始量产。赵海军博士在北京清华大学无线电电子学系获得工程学士学位和博士学位,在美国芝加哥大学商学院获得工商管理硕士学位,拥有25年集成电路技术研发和工业生产经验。梁孟松拥有雄厚的技术研发实力,赵海军拥有先进的企业管理能力,两者的联手协作,将发挥1+1>2的综效,把中芯国际带领到一个新的高度,为推动集成电路产业发展做出贡献。中芯国际也任命周梅生出任CTO一职,周梅生过去在台积电任职时是梁孟松的手下大将,未来在与梁孟松的合作中将全力帮助中芯国际跳级到14纳米FinFET工艺。

自梁孟松在中芯国际上任4个月以来,加强了研发队伍的建设,强化了责任制,提升效率及更具应变能力。同时调整更新了14纳米FinFET 规划,将3D FinFET工艺锁定在高性能运算、低功耗芯片应用,并且已在设备性能上看到较大的进步。在这四个月来,中芯国际研发团队研发成果进展迅速、员工纪律性极强、工作效率极高、工作表现极佳、团队内的使命感与日俱增,研发团队对按时完成公司的研发任务充满信心。

根据中芯国际公布的2017年四季报,中芯国际的14纳米研发进程进展顺利,预计将在2019年上半年量产14纳米FinFET工艺技术,比原先预期提前了半年。中芯国际可以根据客户需要提供具有竞争力的14nm解决方案,方便客户进行转移,并提供全面的IP覆盖,我们相信中芯的FinFET解决方案将极具竞争力。随着研发的进一步深入,将会有很多成果转化为收入。

1.4 摩尔定律放缓,中芯后发优势凸显

半导体行业的摩尔定律已经进入一个发展相对缓慢的周期10纳米以下制程的竞争速度放缓,这给中国企业提供了赶超的时间筹码。随着国家支持力度的加大,进口替代趋势越发明显,国内企业将替代进口产品企业成为国内市场芯片供应的主流。

14纳米及以下制程的成本较高,制约其市场扩展速度。理论上按照摩尔定律,制程的进步将会带来成本降低。但是当尺寸从28纳米缩小到22/20纳米时,必须采用辅助的两次图形曝光技术,制程成本将提高1.5-2倍左右。16/14纳米制程成本将更高,这意味着发展先进制程不再具有成本优势。虽然国际上先进制程的代工市场已进入10纳米,即将迈入7纳米,但从市场需求量上来看,目前仍以28纳米制程市场需求量最大。中芯国际们已经在28纳米Poly/SiON上成功生产了数年,2018HKC也将开始爬坡,2017年第4季度28nm营收占比也超过了10%HKC+ MOS产品也将在2018年中试产。因此中芯国际可以在充分享受28纳米市场红利的基础上加大14纳米及以下制程的研发,短时间内不会出现市场被先进制程占据的情况。

10纳米以下的EUV光刻机供不应求,限制了先进制程的大幅市场化。当半导体工艺制程不超过10纳米时,蚀刻晶圆过程中使用深紫外光微影系统(DUV)可以满足要求,而随着制程超过10nm,现在DUV已经满足不了精度要求,这时就需要使用极紫外光微影系统(EUV)进行光刻。EUV最大的工艺商为荷兰的阿斯麦,EUV的研发和生产需要耗费大量的时间和巨额的资金,阿斯麦经过十年的研发也才量产20多台EUV光刻设备,每台EUV光刻设备价格约1.5亿欧元。因此,尽管10纳米以下先进制程被攻克,由于光刻设备的供不应求,短时间内也难以大幅市场化,给中芯国际留下了充分的追赶时间。

 

2攻克20纳米以下的革命性技术-FinFET和FD-SOI

2.1 FinFET-利用3D结构进一步减小栅极宽度

在晶体管中,电流从 Source(源极)流入 Drain(汲级),Gate(栅极)相当于闸门,主要负责控制两端源极和漏级的通断。栅极的宽度决定了电流通过时的损耗,宽度越窄,功耗越低。而栅极的最小宽度,就是半导体的芯片制程。对于芯片制造商来说,工艺制程是越小越好,但是随着制程的减小会带来一系列的技术问题。比如当制程逼近20nm时,栅极对电流控制能力急剧下降,会出现“电流泄露”问题。当晶体管的尺寸缩小到小于10nm时会产生量子效应,这时晶体管的特性将很难控制,芯片的生产难度就会成倍增长。

2010年后,持续数十年的Bulk CMOS工艺技术在20nm走到尽头。早在1999年,胡正明教授在美国加州大学领导着一个研究小组探索如何将CMOS技术拓展到25nm及以下领域,最后提出两种可行方案:一是立体型结构的FinFET晶体管,另外一种是基于SOI的超薄绝缘层上硅体技术 (UTB-SOIFD-SOI晶体管技术)。因为他的两个重要发明,摩尔定律在今天得以再续传奇。

FinFET又叫做鳍式场效应晶体管,这种新的晶体管把芯片内部平面的结构变成了3D,把栅极形状改制,增加Gate端和下层的接触面积,减小栅极宽度的同时降低漏电率,而晶体管空间利用率大大增加。除此之外,在传统晶体管结构中,控制电流通过的闸门,只能在闸门的一侧控制电路的接通与断开,属于平面的架构。在FinFET的架构中,闸门成类似鱼鳍的叉状3D架构,可于电路的两侧控制电路的接通与断开。这种设计可以大幅改善电路控制。

FinFET工艺和二维MOSFET工艺最大的区别在于多了Fin的制造,而Fin的工艺质量决定了晶体管的良率和性能。在Fin的制造工艺中,首先会沉积一层硬掩模(被称作mandral的材料,如Si3N4等)。这层材料以普通精度的光刻进行图案工艺(pattern)。硬掩模在完成图案工艺之后被称作spacer。接着再生长一层如二氧化硅之类的绝缘层材料,被称作film。接下来将所有水平方向的film全部刻蚀掉,只留下沿着spacer侧壁上所生长出来的那部分film,之后再以选择性的刻蚀将spacer材料移除,留下一个个竖直的film。最后对底下的硅材料进行刻蚀。接下来继续生长一层绝缘材料二氧化硅,通过化学机械研磨(CMP)工艺,使得硅晶圆表面变平整。最后再对二氧化硅材料进行刻蚀工艺,使得Fin的高度达到设计要求。在成型的Fin上面,再用原子层沉积(ALD)等步骤沉积高介电常数材料等栅极。

在整个Fin的制造工艺过程中,Fin的高度和宽度必须严格控制,因为这些关键尺寸左右器件的良率和性能。每片Fin的宽度和高度必须保持均匀一致,且Fin不能有任何损坏。在去除Fin和栅极边角残留物工艺中必须严格控制刻蚀精度,一旦不能有效控制,用于去除边角残留物的高能离子可能损坏暴露的表面。薄而易碎的Fin和栅极结构对湿法清洗工艺也是一个挑战:颗粒必须尽可能地完全去除,同时不能造成材料损失,且特征在硅片干燥过程中不会瓦解。

除了Fin的高度和宽度需要严格控制以外,栅极各方面性能也必须符合严格要求,因此栅极成型工艺也极具挑战性。当用低电阻率的导电材料(如钨)填充栅极时,理想情况下,钨金属的沉积不会留下任何孔洞。然而,随着制程的提升,栅极结构越来越窄,填充栅极时很容易留下金属孔洞,严重影响栅极的质量。

尽管FinFET的工艺过程具有非常大的挑战性,随着业界在沉积工艺、刻蚀工艺和清洗工艺上取得一系列的突破时,终于促成FinFET的投产。2011年英特尔首次实现FinFET的商业化,使用在其22nm节点的工艺上。从IntelCorei7-3770之后的22nm的处理器均使用了FinFET技术。由于FinFET具有功耗低,面积小的优点,随后各大半导体厂商也开始转进到FinFET工艺之中。从2012年起,FinFET已经开始向20nm节点和14nm节点推进,其中包括了台积电16nm10nm、三星14nm10nm以及格罗方德的14nm。不过FinFET工艺的极限是7nm制程,第一代的7nm工艺还将会继续使用FinFET工艺,但是接下来就需要依赖极紫外光刻机(EUV)了。

2.2 FD-SOI-利用埋氧层降低泄漏电流

Bulk CMOS技术走到22nm之后,因为光刻技术所限,特征尺寸已很难继续微缩,急需革新技术来维持进一步发展。在众多的候选技术之中,FD-SOI(Fully Depleted Silicon On Insulator,全耗尽SOI)技术极具竞争力。对于FD-SOI晶体管,硅薄膜自然地限定了源漏结深,同时也限定了源漏结的耗尽区,从而可改善漏致势垒降低等短沟道效应,改善器件的亚阈特性,降低电路的静态功耗。此外,FDSOI晶体管无需沟道掺杂,可以避免随机掺杂涨落等效应,从而保持稳定的阈值电压,同时还可以避免因掺杂而引起的迁移率退化。

FD-SOIFully Depleted Silicon On Insulator)是一种平面工艺技术,相对于BulkCMOS主要多了一层叫做埋氧层的超薄绝缘层位于基硅顶部,用于形成一个超薄的晶体管通道,由于通道非常薄,所以没有必要掺杂通道,从而使晶体管完全耗尽。因此,FD-SOI也被叫做“ultra-thin body and buried oxide FullyDepleted SOI”(UTBB-FD-SOI)。与传统工艺技术相比,FD-SOI使得晶体管静电特性更好。埋氧层不仅降低了源极和汲极之间的寄生电容,还有效地限制了从源极流向汲极的电子,极大地降低了泄漏电流。FD-SOI技术不仅可以通过栅极来控制晶体管的行为,而且还可以通过极化设备下面的衬底来控制晶体管的行为,类似于Bulk技术中的体偏置。

Bulk技术中,随着晶体管尺寸的减小,会造成寄生电流减小和效率低下等问题,从而导致体偏置非常有限。由于FD-SOI及其超薄绝缘体层中的晶体管结构,偏置效率更高。 而且,埋氧层的存在允许施加更高的偏置电压,从而突破晶体管的动态控制。

FD-SOI工艺可以将工作电压降低至大约0.6V,而相比之下Bulk CMOS工艺的最小极限值一般在0.9V左右。使用FDSOI的后向偏置技术可以提供更宽动态范围的性能,因此特别适合移动和消费级多媒体应用。

FD-SOISOI中位于顶层的硅层厚度会减薄至5-20nm,这样器件工作时栅极下面沟道位置下方的耗尽层便可充满整个硅薄膜层,如此便可消除在PD-SOIPD为部分耗尽)中常见的浮体效应。

在部分耗尽型SOI结构中,SOI中顶层硅层的厚度为50-90nm,因此沟道下方的硅层中仅有部分被耗尽层占据,由此可导致电荷在耗尽层以下的电中性区域中累积,造成所谓的浮体效应。

SOI工艺的主要优势在于减少寄生电容,提高器件频率同时降低漏电流,Bulk相比SOI器件的频率提高20-35%SOI器件的功耗下降35-70%。另外,SOI本质上也是二维结构,制造工艺简单,与硅工艺相容,可减少13-20%工序,且技术库和现有的Bulk技术库兼容性好,因此量产效率较高。

SOI的缺点跟它的结构息息相关,由于埋氧层的存在,因此生产SOI的晶圆成本要高于Bulk晶圆,且SOI晶圆供应商数量有限。

FinFET结构相比于FD-SOI主要优点在于具有更高的驱动电流以及可以用应变技术增加载流子迁移率,缺点就是制造工艺复杂以及制造成本较高。


2.3 14纳米-性能功耗比显著提升

14纳米技术在维度标度方面比22纳米更出色。晶体管沟道更深、更薄、彼此之间距离更近,所以可以提高密度,降低电容。改进的晶体管所需沟道更少,所以可以进一步提高密度,而SRAM单元大小几乎只有22纳米晶体管中的一半面积。随着制程的不断减小,FinFET结构也变得越来越精细。根据因特尔数据,22纳米工艺的Fin的高度为34纳米,Fin之间的间距pitch60纳米。当制程缩减为14纳米时,Fin的高度提升至42纳米,pitch缩减为42纳米,这对工艺要求带来了更高的要求。

制程向前推进的主要目的是提高性能和降低功耗。由于制程的减小,单位面积容纳的晶体管数目越多,因此运算速度大大提高,同样,缩减晶体管之间的距离之后,晶体管之间的电容也会更低,由于晶体管在切换电子信号时的动态功率消耗与电容成正比,因此,制程越低功耗越低。制程推进带来的功耗降低和性能提升,也就是性能功耗比会越来越大。

制程越低,每个晶体管所占面积也越小,晶体管集成度也越高,当制程从22纳米推进至14纳米时,由于利用了先进的双重pattern工艺,每个晶体管所占面积得到了超线性递减。但是14纳米及以下制程由于掩模次数较多会带来成本上的提升,但是从性价比角度上看,制程的缩减还是值得的。

3 14纳米行业竞争格局-三超两强一崛起

3.1 鼻祖因特尔,14纳米技术实力最为雄厚

英特尔在其22nm工艺已经率先使用了3D FinFET结构,2014年在14nm上将FinFET结构进化到第二代,可以提供业界领先的性能、功率、密度和单位晶体管成本,将用于制造从高性能到低功耗等范围广泛的产品。其他厂商台积电在其16nm/12nm以及三星/格罗方德的14nm也陆续上马类似的FinFET结构。

英特尔的14纳米工艺和领先的片上系统 (SoC) 产品现在已经验收合格,并正在俄勒冈州(2014年)、亚利桑那州(2014年)和爱尔兰(2015年)的加工厂量产。

英特尔14nm的栅极间距为70nm,内部互联最小间距为52nm,这两项指标分别比22nm缩小了22%35%。相比之下,台积电16nm/12nm晶体管栅极间距为88nm,而三星/格罗方德14nm的栅极间距为78nm,只相当于Intel 22nm的水平,而内部互联最小间距上台积电和三星/格罗方德也都远远大于因特尔的52nm

因此英特尔的14nm制程工艺更加优秀。虽然同为14nm,英特尔的芯片密度更高,性能更强。其它的10nm制程工艺,仅相当于英特尔14nm工艺制程的芯片密度。

3.2 三星、台积电14纳米技术旗鼓相当

三星在28纳米制程之后,直接转进14纳米制程,并率先于2015年第一季开始量产。并且三星的旗舰机Galaxy S6S6 Edge所搭载的Exynos 7420移动处理器即采用14纳米制程生产,打响三星14纳米制程名声。由于三星的14纳米领先台积电的16纳米半年投产,因此苹果A9的大部分订单被三星接手。但是后来发现iPhone 6s A9晶片台积电16纳米制程明显较三星14纳米功耗低,更省电,因此后续A9订单又转移到了台积电,使得台积电在苹果A9处理器一战成名。

2016年,System LSI业务部门推出了采用14纳米(nmFinFET制程技术的全系列移动片上系统(SoC)产品阵容,其中包括用于高端移动设备的Exynos 8 Octa,集成了完整连接的入门级设备解决方案Exynos 7 Quad,以及业界第一款用于可穿戴产品的14nm 制程SoC-Exynos 7 Dual。公司还推出了可在移动设备平台上实现DSLR相机级相位检测自动对焦的双像素图像传感器,即使在低光照环境下,也能为设备用户提供快速的照片拍摄和卓越的图像质量。2017年,三星的System LSI业务预计将通过其基于10nmFinFET工艺技术的最新Exynos 9,进一步推动下一代智能手机、VRAR设备等创新产品的开发。 系统LSI业务在未来几年中的首要任务将是在目前的旗舰业务领域基础上,通过在5G调制解调器、下一代图像传感器以及电源管理ICPMIC)等多个领域建立更强大的核心设计能力来推进其业务组合。

相比于三星,台积电的制程推进是循序渐进、按部就班的,由28nm > 20nm Planner > 16nm FinFET演进而来。201311月,台积电成为第一家开始进行16nm FinFET风险生产的代工厂。此外,台积电成为第一家为其客户生产业界首款16纳米FinFET全功能网络处理器的代工厂。继16nm FinFET工艺成功后,台积电推出16nm FinFET Plus16FF+)工艺。16FF+20157月迅速进入批量生产,这要归功于其产量快速增长和性能改进。

台积电还推出了更具成本效益的16纳米FinFET紧凑型技术(16FFC),该技术于2016年第二季度投入生产。该工艺通过同时结合光学收缩和工艺简化,最大限度地提高了裸片成本缩放比例。此外,12nm FinFET紧凑型技术(12FFC)将栅极密度提升至最大限度,并于2017年第二季度投产。

台积电的16/12nm提供了业界16/14nm产品中的最佳性能。与台积电的20nm SoC工艺相比,16/12nm速度提高50%,同样速度下功耗降低60%。它为下一代高端移动计算、网络通信、消费和汽车电子应用提供卓越的性能和功耗优势。

台积电除了供应一部分苹果A9外,台积电16nm已签订的订单包括AMDAvago、博通、海思、LG电子、联发科、NVDIAXilinx。台积电的16nm FinFET+16FF+)制程,策略上是将16nm 视为20nm的延伸,使用和20nm相同的金属后端处理,虽然在晶体管尺寸角度上比不上Samsung14nm,制作的晶片die size较大,但因为是延续20nm技术,不仅可以充分利用20nm的量产经验缩短学习曲线,而且90%以上的设备可以共用。台积电的16nmFinFET+ 制程产品已经于2Q15正式量产,7月开始小量出货,3Q15 逐渐增大的投产量,4Q15大量出货贡献营收,在2016年制程所占收入的比逐步上升,稳定在25%30%之间。从2017年开始,台积电的16nm FinFET产品收入占比开始逐渐减小,到2017年第四季度16/20nm收入占比降至20%,主要由于台积电在10nm制程上的营收逐渐加大。

3.3 联电、格罗方德加速追赶,2017年量产14纳米技术

格罗方德使用了三星的14nm FinFET工艺授权,20179月,格罗方德14nm HighPerformance(HP)技术现已进入量产,此技术将运用于IBM新一代服务器系统的处理器。在大数据和认知运算时代,这项由双方共同研发的14HP制程,将协助IBM为其支援的云端、商务及企业级解决方案提供高效能及资料处理能力等两大优势。14HP技术借鉴了我们位于纽约州萨拉托加县的Fab 814纳米FinFET技术领域所积累的丰富经验。Fab 8厂不仅拥有大量生产的能力,更可针对各种应用提供广泛的客户设计。厂房中成熟且多样的制造能力,有助于IBM将其最新一代的处理器设计推向市场,并为其广大的客户群提供服务。14HP是业内唯一将三维FinFET晶体管架构结合在SOI衬底上的技术。该技术采用了17层金属层结构,每个芯片上有80多亿个晶体管,通过嵌入式动态随机存储器(DRAM)以及其它创新功能,达到比前代产品更高的性能、更低的能耗、以及更好的面积缩微效果,从而能够满足广泛的深度计算工作量的需求。

联电一度是跟台积电并列的台湾晶圆代工厂,不过在28nm节点之后已经被台积电甩开,他们现在28nm工艺的营收比例也不过21%,主力还是40nm工艺。在FinFET节点上,台积电选择了16nm,联电跟三星一样都是14nmFinFET工艺。2017223日,联电所自主研发的14纳米FinFET制程技术,已成功进入客户芯片量产阶段。首个客户是BitFury,他们的比特币矿机芯片将使用联电的14nm工艺代工。

联华电子14纳米鳍式场效晶体管(FinFET)制程技术,已成功进入客户芯片量产阶段。出货给主要客户的14纳米量产晶圆,良率已达先进制程的业界竞争水平,此制程将帮助客户于电子产品开拓崭新的应用。联电位于台南的Fab 12A厂目前为客户量产14纳米客户产品,预计将依据客户需求稳定增长14纳米产能。

联华电子14纳米技术特点为公司自主研发的14nm FinFET技术,其特点包括鳍式模块、高介电材料/金属闸极堆叠,低介电材料隔板,应变工程,中端以及后端模块。该制程技术对于在同一设计中,对高性能和低功耗兼具的需求应用,是最理想的选择。强化性能的14纳米FinFET技术,性能亦已达先进制程的业界竞争水平,速度较28纳米增快55%,闸密度则达两倍。此外,功耗更较28纳米减少约50%。

联华电子的14纳米技术,旨在满足各类对最高性能和极低功耗需求的应用领域,如:具人工智能的CPUGPU、高端应用处理器、手机基频、FPGA / CPLACable Modem/ WLAN/WiFi、高端消费性电子类应用,如DTV机顶盒,DTV SoC,高频通信中的射频收发器,5G的极高频(mmWave),以及汽车应用中的极高频雷达(mmWave Radar) /先进驾驶辅助系统(ADAS)和信息娱乐处理器。

3.4 对标五巨头,定位中国芯

14纳米技术的量产时间上看,联电和格罗方德的14纳米技术和中芯国际差距不大,中芯国际14纳米工艺虽然没有量产,但是已经取得了巨大的研发进展,2019年上半年即可量产,与联电和格罗方德也就不到两年的量产时间差。加上中芯国际身兼资金、人才、管理优势,未来大有希望实现弯道超车,市场占有率将超过联电和格罗方德。

对于英特尔和三星这两个IDM企业,虽然技术上中芯国际还有很长的追赶时间,但是由于英特尔和三星的产能规模有限,在市场份额的角逐上竞争力有限,换句话说,英特尔和三星不会成为中芯国际最大的竞争对手。

作为纯晶圆代工厂,台积电无论是在成熟制程还是先进制程上都有很大的市占率。因此从市占率角度上来看,台积电是中芯国际最大的对手。对比中芯国际和台积电的技术差距,我们发现28纳米是技术差距的拐点,90纳米中芯落后台积电1年,65纳米落后两年,40纳米落后三年,28纳米整整落后6年,技术差距呈增大趋势。28纳米之后的先进制程,中芯国际和台积电的差距越来越小,14纳米落后台积电3.5年,比原计划提前了半年,10纳米及以下预计落后3年。所以在未来先进制程的竞争上,中芯国际和台积电的差距正在逐渐缩小,有望成为仅次于台积电全球第二大纯晶圆代工厂。


4 盈利预测和投资建议

关键假设:

假设12017年产能每季度环比增长4%左右,2018年初新的12寸晶圆厂投产,产能利用率保持90%以上;

假设212寸晶圆产线产值占比逐年提升,超过8寸晶圆产值;

假设3201828纳米工艺良率取得突破,2019年具备量产28纳米各个层次的技术条件;28纳米良率攻克后,带动整体毛利率提升。

假设42017年第三季度28纳米占比8.8%:提前一季度完成Q4目标,突破进度超预期。今年16%的产能闲置率和明年新增产能,叠加明年突破关键节点带来的ASP的提升,明后年营收有望迎来量价齐升,因此上调20182019年晶圆增速。

基于以上假设,我们预测公司2017-2019年分业务收入如下表:

我们预测公司2018-2020EPS分别是0.040.050.07美元,对应PE分别为382620倍。考虑到目前整个半导体行业日新月异,竞争和价格压力不断加大,作为中国最大最先进的晶圆代工厂,中芯国际加快从高产能利用率盈利模式向先进制程的盈利模式转变,目前正处于转型过渡期,因此我们主要采用PB估值法选取台积电、联电、华虹半导体等可比公司对公司进行估值。

可比公司台积电、联电和华虹半导体当前的动态PB均值为2.37,而中芯国际2017PB只有1.3倍,参考可比公司PB均值,给予中芯国际20181.7PB估值,对应2018年股价为1.87美元,维持“买入”评级。

    您可能也对以下帖子感兴趣

    文章有问题?点此查看未经处理的缓存