查看原文
其他

2020年中芯国际产业链机会深度剖析

来源:国盛证券


一、中芯国际上市,材料设备链国产替代加速

中芯国际回归 A 股,国产晶圆制造崛起。中芯国际公布将于科创板上市,拟发行 16.86亿股募集 200 亿,国产晶圆制造龙头强势回归 A 股,募集资金主要投资于:(1)40%用于投资 12 英寸 SN1 项目(中芯南方一期);(2)20%用于公司现金及成熟工艺研发项目的储备资金;(3)40%用于补充流动资金。

中国是全球最大的芯片市场,中芯国际来自本土客户收入迅猛增长。根据 gartner,中国半导体市场占比从 2000 年的 7%预计提升到 2020 年的 50%,成为全球最大的芯片市场。2011~2020 年中国本土设计公司的复合增长率为 24%,远高于全球平均的 4%。2011~2019 年中芯国际来自于中国本土客户的收入复合增长高达 20%,持续受益于本土市场的兴起与开拓。


14nm FinFEF 量产,12nm 有望逐渐试产。中芯国际 14nm FinFET 工艺的实现也标志着公司下游应用将迈进 5G、物联网、车用电子、高性能计算等领域。预计年底产能达到1.5 万片/月。公司 14nm 产品覆盖通讯、汽车等领域,并基于 14nm 向 12nm 延伸,启动试生产,目前进展良好。对于应用端,计划未来按三阶段进行推进:一阶段,聚焦高端客户,多媒体应用;二阶段,聚焦中低端移动应用,并在AI、矿机、区块链等应用有所准备;三阶段,发展射频应用。

14nm 量产之后,N+1/N+2 更值得期待。预计随着 14nm 产能扩充,占比有望持续提高,计划年底产能扩到 1.5 万片/月。N+1 新平台开始有客户导入,研发投入转换率加快提高。N+1 相比于 14nm,性能提升 20%、功耗降低 57%、逻辑面积降低 63%,意味着除了性能,其他指标均与 7nm 工艺相似,N+2 则有望在此基础上将性能提升至 7nm 水平。


持续关注中国“芯”阵列核心标的,如晶圆代工、封测、IP 授权及设计服务、设备材料等国产化机会。随着中芯国际即将于科创板上市,A 股国产半导体家族将再得一名大将。随着当前国产半导体板块的日渐完善,我们已经看到从 IP 授权及设计服务、设计、晶圆代工、封测、设备、以及材料多领域的不同程度的国产化出现。


中芯国际火速过会,科创板登陆在即;长存二期(产能从 50K 到 100K)宣布启动, 64 层稳定生产,128 层成功研制。2020~2022 年内资晶圆厂每年规划投资金额均超千亿,后续有望加大国产设备、材料、OSAT 链条的扶持力度。在国产替代趋势下,目前产业跟踪来看代工、封装、测试以及配套设备、材料已经开始实质性受益,整体实力得到显著提升。

战投基金募集成立,国内半导体产业链凝聚整合。聚源芯星基金募集认缴规模为 23.05 亿元,将作为战略投资者认购中芯国际在科创板 IPO 发行的股票。基金管理人为中芯聚源,依托团队专业优势和产业资本背景优势,投资领域涵盖 IC 设计、半导体材料和装备、IP 及相关服务。参与该战投基金的合伙人包括中芯聚源、上海新阳、中微公司、上海新晟、澜起科技、中环股份、韦尔股份、汇顶科技、聚辰股份、安集科技、全志科技、盛美半导体、徕木股份、至纯科技、江丰电子等。


设备厂商国产替代明显加速。全球半导体设备市场约 500~600 亿美元,大陆占比持续提高。中微、北方华创在设备领域持续放量,武汉精鸿检测设备落地、上海精测膜厚设备突破。根据长存 20H1 的订单,各品类出货量占比程度看,刻蚀(中微 26%、北方华创 9%)、薄膜(北方华创 16%、沈阳拓荆 5%)、清洗(盛美 19%)、热处理(北方华创 35%),国产替代比率已经实现较大提升。

国产替代红利加持材料空间广阔。全球半导体材料市场超过 500 亿美元,大陆占比超过20%,且增速在不断提升。硅片、光刻胶、CMP 抛光材料等材料为最上游环节,国产替代才刚刚开始,未来存在巨大空间。20Q1 可以看到材料板块部分公司通过持续的技术、产品、客户等方面的攻关,开始逐步实现营收上的突破,看好国产供应商未来较快发展的实现。

二、需求旺盛,设计、IP 产业蓬勃发展

根据IBS 报告,中国在全球半导体市场规模中占比超过 50%,并呈持续扩大趋势。2019年中国半导体市场规模为 2122 亿美元,占全球市场的 52.93%。预计到 2030 年,中国半导体市场规模将达到 6212 亿美元,占全球市场高达 59.01%,其中中国半导体市场的年均复合增长率达 10.26%。


随着中国芯片制造及相关产业的快速发展,本土产业链逐步完善,为中国的初创芯片设计公司提供了国内晶圆制造支持,加上产业资金和政策的支持,以及人才的回流,中国的芯片设计公司数量快速增加。


我国的集成电路设计产业已成为全球集成电路设计产业的新生力量。从产业规模来看, 我国大陆集成电路设计行业销售规模从 2013 年的 809 亿元增长至 2018 年的 2,519 亿元,年均复合增长率约为 25.50%。


国产替代历史性机遇开启,2019 年正式从主题概念到业绩兑现、2020 年有望加速。逆势方显优质公司本色,为什么在 2019 年行业下行周期中 A 股半导体公司迭超预期, 优质标的国产替代、结构改善逐步兑现至报表是核心原因。

研发转换效率是科技企业之本,这一点在轻资产运营、下游创新需求迭代快的 IC 设计公司上体现的尤为明显。

我们详细梳理各家公司财报,可以发现韦尔股份、兆易创新、圣邦股份、卓胜微、乐鑫科技、澜起科技、景嘉微、汇顶科技等一批优质公司在新产品、新技术工艺、市场份额以及客户方面取得重大突破。

2019 年是国产供应链重塑第一年,2020 年将进入加速阶段。我们认为国产供应链重塑将继续延循两条主线展开:

Ø 国内芯片生态圈将开始壮大发展,在当前国产替代需求下,具备核心研发能力的公司将会获得更多的试错和产品迭代机会;

Ø 整个产业链还有制造、封测、材料、设备等众多环节,国内产业链重塑,为国内半导体打造更加安全、可靠、先进的发展环境,相关的逻辑芯片代工、封测、设备、材料等厂商有望深度受益;


国内半导体设计领域崛起一批具有较强实力的企业:

Ø 公司本身研发实力过硬,研发转换效率高:主要关注公司研发投入的成果转换,重点关注研发投入-营收/产品品类扩张速度的匹配情况,去年圣邦股份、汇顶科技以及今年韦尔股份的营收扩张与毛利改善均属于此类;

Ø 具备可见、可触及的下游广阔空间,或者能通过品类扩张切入更大的市场空间:全球 800-1000 亿美金的 DRAM、500-700 亿美金的 NAND Flash、500-600 亿美金的模拟芯片、200 亿美金的CIS 芯片有望深水养大鱼,出现大体量龙头公司;

Ø 2020 年 CIS、射频、存储、模拟等国产化深水区力度有望加速。

几个核心赛道国内逐渐涌现出一批优秀企业:

1、华为核心五大件 CPU\GPU\ADDA\存储\射频器件中,CPU\GPU\ADDA 海思已经进行多年研发、产品逐步落地自强;

2、存储:用量最大,百亿美金采购级别、预计三年后翻倍,目前主流存储 DRAM 扶持合肥长鑫(兆易创新)、NAND 扶持长江存储,利基型存储兆易创新、ISSI(北京君正拟收购)已经切入预计马上放量;

3、FPGA:紫光同创(紫光国微),安路信息(士兰微入股);

4、模拟芯片及传感器:韦尔股份,圣邦股份,矽立杰,杰华特,3PEAK;

5、功率半导体:闻泰科技,士兰微,扬杰科技;

6、射频芯片:三安光电,卓胜微,山东天岳;

7、阻容感:风华高科,三环集团,顺络电子;

8、代工及封测:中芯国际,长电科技,华天科技,通富微电;

9、连接器及天线:立讯精密、意华股份、硕贝德、电连技术;

10、环形器:天和防务;

三、材料国产化进度超预期,相关龙头加速突破

3.1 中国需求巨大,国产替代揭开序幕

国产替代红利加持材料空间广阔。全球半导体材料市场超过 500 亿美元,大陆占比超过20%,且增速在不断提升。硅片、光刻胶、CMP 抛光材料等材料为最上游环节,国产替代才刚刚开始,未来存在巨大空间。20Q1 可以看到材料板块部分公司通过持续的技术、产品、客户等方面的攻关,开始逐步实现营收上的突破,看好国产供应商未来较快发展的实现。

在半导体原材料领域,集成电路技术发展到微纳电子制造的物理极限,单独依靠特征尺寸缩小已不足以实现技术发展目标。新材料的引入以及相应的新材料技术与微纳制造技术相结合共同推动着集成电路不断发展。集成电路制造工艺用到元素已经从 12 种增加到 61 种。伴随微纳制造工艺不断发展,对材料的纯度,纳米精度尺寸控制、材料的功能性等都提出了严苛的需求。



简单来看,半导体制造所需要的材料主要分布在以下四步之中:

1. 掺杂/热处理:溅射靶材,湿法化学品、化学气体,CMP 抛光垫和抛光液;

2. 蚀刻/清洁:掩模/光罩,溅射靶材,CMP 抛光垫和抛光液;

3. 沉积:化学气体,CMP 抛光垫和抛光液;

4. 光刻:掩模/光罩、光刻胶、光刻胶显影液、熔剂、剥离剂。

半导体材料可分为晶圆制造材料和封装材料,晶圆制造材料是半导体材料市场的主力军。根据 wind 数据,2018 年,全球半导体材料销售规模为 519.4 亿美元,同比增长 10.7%, 其中晶圆制造材料及封装材料销售额分别为 322 亿美元和 197 亿美元,同比增长 15.9%和 3.1%。

根据 SEMI 统计数据,我国半导体材料市场规模为 85 亿美元,同比增长 12.3%,其中晶圆制造材料及封装材料市场规模为约 28.2 亿美元和 56.8 亿美元。未来 2 年我国半导体材料市场规模将持续高速增长,预计 2020 年我国半导体材料市场规模达 107.4 亿美元, 其中晶圆制造材料市场规模达 40.9 亿美元,2016-2020 年 CAGR 为 18.3%;封装材料市场规模达 66.5 亿美元,2016-2020 年 CAGR 为 9.18%。


晶圆制造材料包括硅片、电子特气、光掩模、光刻胶和抛光材料等材料,其中占比最高的是硅片,预计到 2020 年,将占晶圆制造材料的约 36%,抛光材料的占比不断提高, 预计到 2020 年抛光材料占比约 7%,规模将达到 23.5 亿美元,市场空间巨大。中国CMP 抛光材料的市场规模在 2018 年达到 28 亿元,2014 年至 2018 年年复合增长率为9.9%,随着半导体材料国产化的加速推进,我国 CMP 抛光材料市场有望实现稳健增长。


产业持续东移,中国大陆增速第一。从占比来看,半导体材料市场中,中国台湾依然是半导体材料消耗最大的地区,全球占比 22.04%。中国大陆占比 19%排名全球第三,略低于 19.8%的韩国。然而中国大陆占比已实现连续十年稳定提升,从 2006 年占全球比重 11%,到 2018 年占比 19%。产业东移趋势明显。


半导体材料国产化率仍待转化。根据集成电路材料和零部件产业技术创新战略联盟的调研数据,2016 年国内晶圆制造材料企业用于半导体制造的产品销售收入仅 69.5 亿元,相对于国内晶圆制造材料市场需求的比例约 20%,国产化比例较低。

在国家产业政策大力扶持和国内半导体市场稳定增长等利好条件下,特别是国家“02 专项”等专业化科研项目的培育下,国内半导体材料领域将涌现更多具有国际竞争力的公司和产品,在更多关键半导体材料领域实现进口替代,打破国外厂商的垄断。


半导体芯片制造工艺半导体将原始半导体材料转变成半导体芯片,每个工艺制程都需要电子化学品,半导体芯片造过就是物理和化学的反应过程,半导体材料的应用决定了摩尔定律的持续推进,决定芯片是否将持续缩小线宽。目前我国不同半导体制造材料的技术水平不等,但整体与国外差距较大,存在巨大的国产替代空间。


从技术层面出发再至半导体封装材料进出口金额及量(由于缺乏晶圆制造数据,故以封装材料为例说明),可以看到中国对于半导体封装材料进口量的需求巨大,同时再对比进出口单价情况,从 2017 年开始计算,出口单价仅为进口单价的约为 60%,价格悬差巨大,也再次反映了中国虽然对于半导体材料的需求巨大,但是由于目前技术能力有限所致进出口贸易悬差巨大,也因此存在巨大的国产替代空间。

3.2 CMP 受益半导体市场及制程发展,市场持续增长

作为半导体制造中必不可少的 CMP 环节,CMP 材料在晶圆制造材料成本之中也占据了约为 7%,而在这其中抛光液、抛光垫则占据了 CMP 成本的分别约 49%、33%。


CMP 抛光材料主要包括抛光液、抛光垫、调节器、清洁剂等,其市场份额分别占比 49%、33%、9%和 5%。至 2018 年市场抛光液和抛光垫市场分别达到了 12.7 和 7.4 亿美元。


抛光垫市场集中度较高,陶氏化学市场份额约 79%,垄断了全球大部分抛光垫市场, Cabot Microelectronics 市占率约 5%,Thomas West、FOJIBO、JSR 等市占率合计约 7%。中国的鼎龙股份自 2013 年开始进行 CMP 抛光垫研发,并被纳入了国家“02 专项”,承担起中芯国际的 CMP 抛光片产品的研发任务,2019 年,公司抛光垫实现收入 1.23 亿元,成为国内首家CMP 抛光垫实现量产的公司,目前公司 8 英寸抛光垫已成为国内主流晶圆厂的重点抛光垫供应商,12 英寸抛光垫通过中芯国际的认证。


CMP 抛光液市场长期以来被美国和日本企业垄断,包括美国的 Cabot Microelectronics、Versum 和日本的 Hitachi Chemical、Fujimi 等,其中Cabot Microelectronics 在全球抛光液市场中市占率最高,2000 年市占率达 80%,随着市场竞争程度愈发激烈,抛光液市场有分散化的趋势,到 2019 年,Cabot Microelectronics 占比约 34%,Hitachi Chemical 的市占率在 15%左右,Fujimi 和 Versum 的市占率各约 10%,中国的安集科技 CMP 抛光液 2019 年实现收入 2.36 亿元,市占率约 2.5%。


受益半导体市场增长及半导体制程不断的升级,CMP 市场有望持续增长。随着半导体市场不断的增长,作为核心配套材料的 CMP 抛光垫有望伴随半导体全市场的复苏及增长齐头并进;另一方面根据 Cabot Microelctronics 的测算,无论是 Nand 从 2D 向 3D 升级, 又或是逻辑芯片制程的不断提高,都将大幅提高晶圆制造中抛光工序的次数。举例说明:28nm 逻辑芯片所需抛光次数约为 400 次,但至 5nm 逻辑芯片,其抛光次数已超过 1200 次。无论是半导体市场带动的需求,亦或者是芯片制程不断提高所带动的 CMP 价值量的提高,都将给CMP 市场提供稳定的持续增长动力。

鼎龙股份主营业务经营改善,垂直整合稳占龙头之位;CMP 抛光垫业务驱动新成长。鼎龙股份上市十多年来在完成“彩粉+硒鼓+芯片”打印耗材全产业链整合之后,虽然在2018 年及 2019 年由于行业竞争致使产品价格下降。随着公司对该业务行业的垂直化整合后,公司对于主营业务的经营有望改善,且实现未来的稳健增长。同时公司积极开拓CMP 抛光垫业务,成功实现国产化突破后,有望成为公司成长新动力。

3.3 电子特气受益于下游扩产带动,国产化进程开启

电子特气是超大规模集成电路、平面显示器、化合物半导体器件等电子工业生产中不可或缺的基础原材料,广泛应用于薄膜、刻蚀、掺杂、气相沉积、扩散等工艺。据 Linx Consulting 估计,2018 年全球电子特气市场规模接近 34 亿美元,同比增长 10%。随着半导体行业的快速发展,电子气体的品种、数量不断增加,刻蚀及化学沉积等下游领域对电子特气的质量和纯度的要求也在不断提高。


与传统工业气体相比,用于各种生产工艺的气体,尤其是与硅片直接接触的气体,由于具有高纯度和高危险性的特点,通常统称为高纯特种气体或高纯电子级气体。由于在化学气相沉积、刻蚀、离子注入、外延等前段制造工艺中,气体中的有害杂质浓度对芯片的成品率有着直接影响,目前,大部分的高纯特种气体纯度应达到 99.99%(4N)以上(常用“xN”表示纯度,x 是大于 1 的正整数,N 是英文单词 Nine 的首字母,例如,“5N”表示 99.999%,“4N5”表示 99.995%)。

气体按照纯度不同,分为普通气体、纯气体、高纯气体和超纯气体四种。


根据电子特气的不同用途分类,可以分为化学气相沉积气、离子注入气、外延晶体生长气、发光二极管气、刻蚀气、掺杂气等。

金宏气体是国内领先工业气体供应商。公司专业从事工业气体研发、生产、销售和服务, 主要产品包括特种气体、大宗气体天然气。公司自主研发生产的超纯氨,品质和技术达到替代进口水平,打破了外资巨头的垄断,该业务国内市占率超过 50%。公司的电子特气广泛应用于光伏、LED、液晶面板、集成电路、光纤通信等电子半导体领域。2017 年和 2018 年两年金宏的销售额在协会的民营气体行业企业统计中排名第一。

电子特气市场空间大,下游厂商扩产带动需求增长。电子特气是超大规模集成电路、平面显示器、化合物半导体器件等电子工业生产中不可或缺的基础原材料,广泛应用于薄膜、刻蚀、掺杂、气相沉积、扩散等工艺。目前电子特气是仅次于硅片的第二大市场需求半导体材料,预计到 2020 年全球市场规模将达到 43.7 亿美元。2024 年我国电子特种气体市场规模将达到 230 亿元,市场空间广阔。随着集成电路、液晶面板等行业厂商积极进行产能扩张,电子特气有望跟随下游产业增长趋势,需求迎来大幅增长。

加码特种气体研发,打破外资市场垄断。由于电子特气行业具有高技术壁垒、客户认证壁垒和资金壁垒,国内企业在技术、工艺和设备方面与外企巨头具有明显差异。近年来随着全球半导体、显示面板等电子产业链不断向亚洲、中国大陆地区转移,对电子特气的需求也带来了持续、强劲的拉动。以金宏气体为代表的国内公司正在不断加快国产替代的进程。目前公司创新研发的超纯氨、高纯氢、高纯氧化亚氮等电子特气的品质和技术已达到替代进口产品的水平。随着公司技术水平和产品品质不断提高,气体种类更加丰富,公司竞争优势凸显,市场份额将得到进一步提升。

3.4 硅片:半导体材料重中之重,国内逐步实现突破

根据目前 SEMI 对于全球各类半导体硅片的出货量统计,我们也看到半导体市场对于12 英寸硅片的需求及使用也是逐步增加。2011 年,200mm 半导体硅片市场占有率稳定在 25-27%之间;2016 年至 2017 年,由于汽车电子、智能手机用指纹芯片、液晶显示器市场需求快速增长,200mm 硅片出货面积同比增长 14.68%;2018 年,200mm 硅片出货面积达到 3278.00 百万平方英寸,同比增长 6.25%。2018 年,300mm 硅片和200mm 硅片市场份额分别为 63.31%和 26.34%,两种尺寸硅片合计占比接近 90.00%。



而硅片之所以趋向于大尺寸,其主要原因是因为单位晶圆生产效率的提高。虽然生产大尺寸硅片所需要的设备、材料成本等均有所提高,但是考虑到自动化带来的人工费用的减少以及单片硅片的面积之大,以 200mm(9 寸)和 300mm(12 寸)硅片进行比较,12 英寸硅片的单位成本仅为 9 英寸硅片的 70%~80%。

由于成本及良率,12 寸硅片仍为主流,技术略有所停滞的当前,国内厂商具备追赶及替代的机会。但是由于随着硅片的直径越大,硅片结晶过程中的旋转速度也需要与之匹配的减小,即容易带来由于旋转速度不快、不稳定带来的硅片晶格结构的缺陷,同时随着直径的扩大,晶圆的边缘之处更容易产生翘曲的情况,从而带来良率的降低,也意味着生产的成本的提高,因此目前全球的主流硅片的最大尺寸仍仅为 12 英寸,但这也带给了国内厂商追赶行业龙头的机会。

由于半导体行业与全球宏观形势紧密相关,全球半导体硅片行业在 2009 年受经济危机影响,出货量与销售额均出现下滑;2010 年智能手机放量增长,硅片行业大幅反弹;2011 年-2016 年,全球经济复苏但较为低迷,硅片行业亦随之低速发展;2017 年以来,得益于半导体终端市场需求强劲,半导体市场规模不断增长,于 2018 年突破百亿美元大关。


2008 年至2013 年,中国大陆半导体硅片市场发展趋势与全球半导体硅片市场一致。2014 年起,随着中国半导体制造生产线投产、中国半导体制造技术的不断进步与中国半导体终端市场的飞速发展,中国大陆半导体硅片市场步入飞跃式发展阶段。2016 年-2018 年,中国半导体硅片销售额从5.00 亿美元上升至9.96 亿美元,年均复合增长率高达41.17%。中国作为全球最大的半导体终端市场,未来随着中国芯片制造产能的持续扩张,中国半导体硅片市场的规模将继续以高于全球市场的速度增长。


中国大陆仅有少数几家企业具有 200mm 半导体硅片的生产能力。2017 年以前,300mm 半导体硅片几乎全部依赖进口。2018 年,硅产业集团子公司上海新昇作为中国大陆率先实现 300mm 硅片规模化销售的企业,打破了 300mm 半导体硅片国产化率几乎为 0%的局面。

3.5 光刻胶:逐步突破,任重而道远

光刻胶,目前做为半导体生产中光刻工艺的核心材料,其主要工作原理是:光刻工艺利用光刻胶对于各种特殊射线及辐射的反应原理,将事先制备在掩模上的图形转印到晶圆, 建立图形的工艺,使硅片表面曝光完成设计路的电路图,做到分辨率清晰和定位无偏差电路,就如同建筑物一楼的砖块砌起来和二楼的砖块要对准,叠加的层数越高,技术难度大。

248nm 及以上高端光刻胶为全球市场的主流。SEMI 的数据显示,2018 年全球半导体用光刻胶市场达到 24 亿美元,较 2017 年同比增长 20%。光刻胶配套试剂方面,2018年全球光刻胶配套试剂市场达到 28 亿美元,较 2017 年增长 27%。


全球共有 5 家主要的光刻胶生产企业。其中,日本技术和生产规模占绝对优势。


国内光刻胶生产商主要生产 PCB 光刻胶,面板光刻胶和半导体光刻胶由于光刻胶的技术壁垒较高,国内高端光刻胶市场基本被国外企业垄断,特别是高分辨率的 KrF 和 ArF 光刻胶,基本被日本和美国企业占据。PCB 光刻胶的技术要求较低,PCB 光刻胶在光刻胶产品系列中属于较低端,目前国产化率已达到 50%;LCD 光刻胶国产化率在 10%左右, 进口替代空间巨大;IC 光刻胶与国外相比仍有较大差距,国产替代之路任重道远。

国内半导体光刻胶技术和国外先进技术差距较大,仅在市场用量最大的 G 线和 I 线有产品进入下游供应链。KrF 线和 ArF 线光刻胶核心技术基本被国外企业垄断,国内 KrF 已经通过认证,但还处于攻坚阶段;我们乐观预计 ArF 光刻胶在 2020 年能有效突破并完成认证。

四、下游投建如火如荼,设备替代正当其时

4.1 全球设备市场回暖,受益于制程进步、产能投放

全球每年半导体设备市场规模约500~600 亿,大陆占比20~25%。根据SEMI,2019Q4半导体设备销售额 178 亿美元,同比增长 19%,环比增长 24%,单季度半导体设备销售额创历史新高。按地区分布,贡献最大的分别是中国大陆(同比增长 59%)、中国台湾(同比增长 121%)。



半导体设备行业呈现明显的周期性,受下游厂商资本开支节奏变化较为明显。


半导体设备周期逐渐回暖,2020Q1 受疫情短期产生波动。伴随着下游资本开支提升, 设备厂商营业收入增速从 2019Q2 触底后逐渐回暖。2020Q1 由于疫情冲击,产品发货推迟,导致单季度收入增速下调。以 ASML 为例,如果没有新冠疫情,2020Q2 将成为一个非常强劲的发货季节,收入环比达到 50%以上。ASML 表示下游对于先进的光刻设备需求有增无减。

Capex 进入上行期,台积电、中芯国际纷纷增加资本开支。台积电率先推进大幅资本开支提升,推进先进制程应用。台积电 2018 年资本开支 104 亿美元,2019 年提升至 148亿美元,2020 年预期 150~160 亿美元。中芯国际 2019 年资本开支 22 亿美元,预期 2020年上升至 43 亿美元,开启新一轮资本开支。


“芯拐点”、新制程、新产能推动需求。我们判断本轮反转首先来自于全球“芯”拐点, 行业向上;其次,先进制程带来的资本开支越来越重, 7nm 投资在 100 亿美元,研发30 亿美元;5~3nm 投资在 200 亿美元;7nm 单位面积生产成本跳升,较 14nm 直接翻倍;并且,大陆晶圆厂投建带动更多设备投资需求。



4.2 前道设备占主要部分,测试需求增速最快

前道设备占主要部分。设备投资一般占比 70~80%,当制程到 16/14nm 时,设备投资占比达 85%;7nm 及以下占比将更高。按工艺流程分类,典型的产线上前道、封装、测试三类设备分别占 85%、6%、9%。



测试需求增长更快。半导体设备 2013~2018 年复合增长率为 15%,前道、封装、测试分别为 15%、11%、16%。增速最快的子项目分别为刻蚀设备(CAGR 24%)和存储测试设备(CAGR 27%)。




4.3 全球市场受海外厂商误导,前五大厂商市占率较高

全球设备五强占市场主导角色。全球设备格局竞争,主要前道工艺(刻蚀、沉积、涂胶、热处理、清洗等)整合成三强 AMAT、LAM、TEL。另外,光刻机龙头 ASML 市占率 80%+;过程控制龙头KLA 市占率 50%。ASML、AMAT、LAM Research、TEL、KLA 五大厂商 2019年半导体设备收入合计 472 亿美元,占全球市场约 78%。



综合看下来,设备五强市场在各赛道合计市占率基本在 50%以上。AMSL 优势在光刻方面遥遥领先;AMAT 优势在产品线广,沉积(CVD、PVD)市占率高;LAM 优势在刻蚀领域;TEL 优势在小赛道如涂胶、去胶、热处理;KLA 优势在过程控制。


4.4 国内需求爆发,国产替代进展加速

国内晶圆厂投资金额即将进入高峰期。中国半导体设备市场 2019 年四个季度投资增速同比-11%/-11%/-14%/59%。20Q1 预计继续保持高增速。根据统计,2020~2022 年国内晶圆厂总投资金额约 1500/1400/1200 亿元, 其中内资晶圆厂投资金额约1000/1200/1100 亿元。2020~2022 年国内晶圆厂投资额将是历史上最高的三年,且未来还有新增项目的可能。


设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,从市场格局来看,细分市场均有较高集中度,主要参与厂商一般不超过 5 家,top3 份额往往高于 90%,部分设备甚至出现一家独大的情况,目前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求。

国内国产化逐渐起航,从 0 到 1 的过程基本完成。中微公司介质刻蚀机已经打入 5nm制程。北方华创硅刻蚀进入 SMIC 28nm 生产线量产。Mattson(屹唐半导体)在去胶设备市占率全球第二。盛美半导体单片清洗机在海力士、长存、SMIC 等产线量产。沈阳拓荆 PECVD 打入 SMIC、华力微 28nm 生产线量产,2018 年 ALD 通过客户 14nm 工艺验证。精测电子、上海睿励在测量领域突破国外垄断。


制程越高,设备投资额占比越高。设备投资一般占比 70~80%,当制程到 16/14nm 时, 设备投资占比达 85%;7nm 及以下占比将更高。光刻、刻蚀、沉积、过程控制、热处理等均是重要投资环节。

设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,目前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求,潜在收入目标空间较大。


五、封测:全球竞争力加强,潜在承接国内需求增量

5.1 封测市场:国内封测市占率逐步提升,国产替代需求进一步加码

国内封测行业持续发展壮大,直接受半导体景气周期影响。国内晶圆代工厂仍处于追赶过程,而封测行业已经跻身全球第一梯队,全球逻辑电路的景气程度会直接影响到国内的封测厂商。封测行业直接受半导体景气回升影响,国内封测厂是最直接受益赛道之一。

封测行业整合,大陆外延内生持续增长。长电科技并购星科金朋、通富微电并购 AMD 苏州/槟城厂、华天科技并购 Unisem。长电科技、通富微电、华天科技三大封测厂合计市占率已从 2011 年的 4.5%上升到了 2018 年的 20.5%。

全球封装测试行业呈现强者恒强。根据 Yole 统计,2018 年全球 top25 封测厂商总体销售额达 270 亿美元,几乎占据了整个 OSAT 市场(300 亿美元)。从地域上看,中国台湾以 52%位居榜首,中国大陆第二(21%),第三为美国(15%),马来西亚(4%)、韩国(3%)、新加坡(3%)和日本(2%)。在 Top 8 中,中国大陆有 3 家,长电科技(Top3)、通富微电(Top6)、华天科技(Top7)。中国封测厂商已在全球竞争中占据一席之地。



5.2 产能利用率修复,收入保持高增速

封测价值重估两阶段,从毛利率修复到净利率修复。长电科技、通富微电、华天科技等三大封测厂合计全球市占率超过 20%,具备全球竞争力。长期视角相对成熟,具备中期维度的产业投资机会。封测重资产属性强,产能利用率是盈利的关键。在周期上行时, 跨越平衡点后具有较高利润弹性,需求和产能的矛盾也会导致局部涨价。

2019H2 产能利用率提升,毛利率修复显著。国内封测行业产能利用率低点在 2019Q1,2019Q2 以后产能利用率逐季提升。封测重资产属性,产能利用率直接反映在毛利率水平上,2019Q4 毛利率修复已经基本实现。

降本提效,净利率还有较高提升空间。我们认为,封测行业毛利率提升的基础上,净利率还有较高提升空间。收入增长摊低费用率水平的基础上,国内主要封测厂精益管理、控费降本有望逐渐显现。2019Q4 净利率水平表现较高部分原因来自于长电科技非经营性损益较高。2020Q1 费用拐点逐渐出现。尽管 2020Q1 单季度收入同比显著增长,但费用同比 19Q1 得到明显控制。不考虑汇兑对财务费用的贡献,管理、销售费用占比均明显下降。


全球封测板块 20Q1 保持较高增长,国内封测厂有望逐渐提升份额。通过对比海内外封测龙头,2020Q1 国内封测厂受疫情影响稼动率产生短期波动,但仍保持较高增速。2020Q2,国内疫情得到基本控制,海外疫情不确定性增加,国内封测厂相对增速有望提高,份额进一步提高。

封测产能分布主要区域:台湾、大陆、东南亚、韩国等。马来西亚是全球封测重镇,AMD、博通、英特尔、意法半导体、英飞凌、skyworks 等均有封测产能分布在此。

3 月中旬马来西亚相继封城封国后,作为东南亚乃至亚洲最重要的半导体、被动元器件出口市场之一,以及最重要的封测产能之地(东南亚占全球封测 27%),全球电子产业将面临供给端挑战。例如英飞凌在 3 月 17 日公告马来西亚工厂已关闭、环球晶在马来西亚的 6 英寸硅晶圆也将受到封国影响,虽然近期陆续得以复工,但产能利用率仍然不高,加剧紧张程度。

5.3 5G 射频带来集成化封装需求大幅提升

5G 对于封装需求要求提升,器件封装微小化、复杂化、集成化。5G 时代采用高频的毫米波段对应更小尺寸的射频元件,其封装复杂度大幅提升,对封装过程中的连线、垫盘和通孔等结构精密度要求更高,避免妨碍到芯片上的射频功能。5G 时代,由于越来越多的频段需求,在射频前端模组化趋势下,RF 封装呈现集成化,SiP 解决方案会得到更加广泛的应用

材料的多样性要求先进封装技术,SiP 将脱颖而出。随着移动通讯技术的升级,射频芯片采用的工艺也越来越复杂,对 PA 而言最好的工艺是GaAs,对天线开关而言最好的工艺是 SOI,滤波器则是采用压电材料。SOC 方案难以集成这些不同材料;系统性封装 SiP 才能满足这些要求。因而 5G 时代的射频前端集成化,将采用先进封装技术。根据 Yole 预测,移动端 RF SiP 市场规模将由 2018 年的 33 亿美金增长到 2023 年的 53 亿美金。射频前端的 SiP 封装将进入一个快速增长期。其中,集成 PA、Filter、Swtich 的 PAMid 增长最快,在射频前端模组中的比重从 23%增长到 39%。


AiP(Antennas in Package)即基于将天线与射频前端模块集成在系统级封装中的封装工艺。AiP 技术很好地兼顾了天线性能、成本及体积,我们通过三星 S10 5G 的拆机可以发现,AiP 封装模块已经正式用于 5G 手机,在基于高通方案的 5G 手机中,一共采用了三个基于 AiP 封装的高通 QTM052 模块,单机封装价值量进一步提升。

高通从 2018 年 8 月起陆续发布 QTM052 与 QTM525 毫米波模块,通过 AiP 封装将收发器、PMIC、PA 与天线整合在一起,达到缩小手机厚度与减少 PCB 面积,取代传统天线与射频模块的分散式设计。相比 AoC(片上天线,antenna on chip),AiP 采用了低损耗衬底代替硅,能够实现 2-4 倍的增益效果。

为便于研究人员查找相关行业研究报告,特将2018年以来各期文章汇总。欢迎点击下面红色字体查阅!


文琳行业研究 2018—2020文章汇总

文琳编辑

今日导读:点击下面链接可查阅

公众号 :文琳行业研究

  1. 新基建四大赛道深度解析:在变革中寻求确定性投资机会

  2. 中国优化营商环境的成功经验:改革驱动力及未来机遇

  3. 2020年下半年经济展望及投资策略

  4. 2019-2020年中国产业互联网指数报告

  5. 智能制造里程碑:灯塔工厂引领中国制造转型升级(附下载)

  6. “新基建”特高压产业发展及投资机会白皮书

  7. 2020年免税行业深度报告

  8. 远程办公行业年中观察

文琳行研报告,为各机构提供专业的信息、数据、研究和咨询服务。欢迎关注【文琳行业研究】


《文琳资讯》每日提供最新信息。欢迎关注

今日导读:点击下面链接可查阅

  1. 为重庆点赞!终究是一滴雨都没下的重庆,默默抗下了所有

  2. 陈敏尔:这次是重庆史上罕见的特大洪水

  3. 刚刚,四川重庆洪水到达三峡

  4. 一位常务副区长告诉你,今年地方财政有多难

  5. 民间借贷大事件!最高法重新定调高利贷,利率红线降至15.4%,这些机构很"受伤"

  6. 银行理财,两大尴尬来了!

  7. 重磅!责令回购制度来了,欺诈发行"割韭菜"必须买回股票!如何实施?来看五大要点

  8. 一知名早教机构突然宣布破产!什么情况?近400名家长追讨超500万学费

《文琳阅读》每晚经典,欢迎关注!

今日导读:点击下面链接可查阅

  1. 别(深度好文)

  2. 谢园去世,“孩子王”不在了

  3. 战机失事瞬间,飞行员是如何通过弹射座椅来实施救生的

  4. 中国顶级江湖菜

  5. BBC纪录片丨《旅行到地球内部》第一集,地球是如何运转的?

  6. 音乐欣赏:小提琴《渔家姑娘在海边》怀旧经典,送给那个时代的朋友!

  7. 每天学一味中药,肉豆蔻!

  8. 花1分钟学记住一个单词|rebuke


继续滑动看下一个
向上滑动看下一个

您可能也对以下帖子感兴趣

文章有问题?点此查看未经处理的缓存