查看原文
其他

半导体设备行业深度研究:决胜国产替代“上甘岭”

(报告出品方/作者:东北证券,李玖)

1. 设备为 IC 制造之基,零部件系设备之核

1.1. 芯片制造,设备为基

半导体设备是集成电路产业的基石,为万亿数字经济产业保驾护航。集成电路产业 在近年来全社会的数字智能化变革下迅速发展,随着摩尔定律趋近极限,极尖端的 半导体设备至关重要且市场广阔。从产业结构上来看,半导体设备位于行业上游, 与各种半导体材料共同形成半导体的支撑。而中游制造产业包括设计、制造与封测, 对应下游通讯、消费电子、工业电子、汽车电子等多种应用。根据 Gartner 的统计结 果,全球半导体行业销售收入 2016 年至 2018 年一直保持增长趋势,复合增长率达 17.34%。据 WSTS 数据,2021 年全球半导体销售额为 5559 亿美元,同比增长 26%;同年半导体设备销售额 1026 亿美元。



半导体设备分为前道制造设备以及后道封测设备。其中,前道设备主要包括光刻设 备、刻蚀设备、薄膜沉积设备、离子注入设备、清洗设备、机械抛光设备以及扩散 设备。而后道测试设备主要包括分选机、测试机、划片机、贴片机等。从市场规模 来看,前道晶圆制造设备的市场规模占整个设备市场规模的 80%以上。

1.2. 受益于集成电路产业快速发展,半导体设备市场规模持续增长,大 陆市场增速领先

设备行业增速明显,设备自主化重要性凸显。半导体设备作为整体产业的支柱,受 益于全球半导体行业的高速发展,全球对半导体制造愈发重视,对应半导体设备需 求快速增长。据 SEMI 统计,2014 年全球半导体设备销售规模仅为 375 亿美元,而 2021 年在全球各地晶圆厂扩产的带动下,半导体制造设备销售额激增,相比 2020 年的 712 亿美元增长了 44%,达到 1026 亿美元的历史新高;预计 2022 年全球半导 体设备市场规模将扩大到 1140 亿美元。

中国作为全球半导体产业的重要参与者,半导体设备增速显著高于全球。在全球范 围来看,半导体产业主要集中在美国、日本、韩国、中国台湾以及中国大陆地区。其中,中国大陆地区经过多年快速发展,已经成为全球最重要的半导体产出和消费 地区,2021 年中国半导体销售约占全球 35%。在下游行业快速发展的推动下,半导 体设备保持快速增长。根据 SEMI 统计,2020 年中国大陆地区半导体设备销售规模 达 187.2 亿美元,同比增长 39%;2021 年销售额增长 58%,达到 296 亿美元,占全 球半导体设备市场规模的 28.86%,第二次成为全球半导体设备的最大市场。



海外厂商先发优势明显,占据设备领先地位。目前全球半导体设备市场目前主要由 国外厂商主导,其中又以美国和日本厂商为主,包括美国的应用材料(AMAT)和 泛林半导体(Lam Research),日本的东京电子(TEL)和日立高新(HITACHI)等国际知名企业。除此以外,荷兰的 ASML(ASMLHolding N.V.)凭借其在光刻机市 场的霸主地位,同样在半导体设备领域扮演着举足轻重的角色。各大国际厂商经过 几十年发展,凭借资金、技术、客户资源等多方面的优势,牢牢占据了全球半导体 设备市场的大部分份额。

1.3. 七大设备零部件构成多种半导体设备,上游地位重要性显著

半导体设备零部件作为半导体设备的基础,受重视程度日益提升。目前,全球范围 内地缘政治、产能结构性紧缺等因素极大地影响了半导体产业的发展。根据 Gartner 的数据,全球芯片制造商 2022 年的资本支出预计合计将达到 1460 亿美元,比疫情 之前的水平高出约 50%。而半导体设备零部件作为各种半导体设备的组成部分,供 应链安全越来越成为各大设备厂商所重视的关键。从结构上看,设备零部件可以简 单分为七大类,在气体输送、机械运动、电气信号控制、晶圆传输、维持设备整体 结构稳定等诸多方面起到重要作用,实现高精度制造与高产率产出,为设备的稳定 运行和安全可靠提供保障。

设备零部件市场规模约占全球半导体设备市场的 50%。从半导体设备的毛利率可以 推出设备零部件的市场规模,一般来说,设备成本中 90%以上为零部件产品,而当 前半导体设备公司毛利率一般维持在 45%~50%左右,从而可以推出设备零部件市 场规模约为半导体设备市场规模的一半,对应 2021 年全球半导体设备零部件市场 规模约为 461 亿美元。

2. 半导体设备:八种前道工艺,共筑 IC 制造辉煌

2.1. 光刻机:摩尔定律的续命药

图形刻画,光刻机必不可少。光刻是将设计好的电路图从掩膜版转印到晶圆表面的 光刻胶上,通过曝光、显影将目标图形印刻到特定材料上的技术,可以简单理解为 画图过程,是晶圆制造中最重要的技术。光刻工艺包括三个核心流程:涂胶、对准 和曝光以及光刻胶显影,整个过程涉及光刻机,涂胶显影机、量测设备以及清洗设 备等多种核心设备,其中价值量最大且技术壁垒最高的部分就是光刻机。



光刻机不断迭代,满足制程提升需求。光刻机经过多年发展,已经演化出五代产品, 由光源波长进行区分可以分为可见光(g-line),紫外光(i-line),深紫外光(KrF、 ArF)以及极紫外(EUV)几大类,从工作类型又可以分为接触式、扫描式、步进式、 浸没式等方式。不同类型的光刻机主要是为了满足日益提升的制程需求,当前最先 进的 3nm 制程只能通过 EUV 光刻机才能实现。

三大海外厂商占据主导,EUV 仅 ASML 一家独供。目前全球光刻机市场几乎由 ASML、尼康和佳能三家厂商垄断,其中又以 ASML 一家独大。由于光刻机需要超 十万个零部件,在各大晶圆厂不断扩产的背景下,光刻机的交货时间一再推迟,EUV 光刻机的交期已经推迟到 24 个月以后。从销量来看,2021 年 ASML 占比 65%,出 货量达到 309 台,力压尼康和佳能,其中 EUV/ArFi/ArF 高端光刻机占比分别为 100%/95.3%/88%。从销额来看,EUV 光刻机单价超过 1 亿欧元,最新一代 0.55NA 大数值孔径 EUV 光刻机单价甚至超过 4 亿欧元,全球仅有 ASML 可提供,使其占据市场绝对龙头地位,2021 年市场份额达到 85.8%。

上海微电子重点突破,国产光刻机有望打破封锁。目前国内具备光刻机生产能力的 企业主要是上海微电子装备有限公司,主要致力于半导体装备、泛半导体装备、高 端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路 前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。公司的光 刻机产品有 SSX600 和 SSB500 两个系列,其中 SSX600 系列主要应用于 IC 前道光 刻工艺,可满足 IC 前道制造 90nm、110nm、280nm 关键层和非关键层的光刻工艺 需求;SSB500 系列光刻机主要应用于 IC 后道先进封装工艺。

2.2. 刻蚀机:微观世界雕刻师

半导体制造核心工艺,刻蚀雕刻芯片大厦。作为半导体制造过程中三大核心工艺之 一,刻蚀可以简单理解为用化学或物理化学方法有选择地在硅片表面去除不需要的 材料的过程,可以分为干法刻蚀和湿法刻蚀,目前市场主流的刻蚀方法均为干法刻蚀,可将其分为 CCP 刻蚀和 ICP 刻蚀。CCP 刻蚀主要是以高能离子在较硬的介质 材料上,刻蚀高深宽比的深孔、沟槽等微观结构;而 ICP 刻蚀主要是以较低的离子 能量和极均匀的离子浓度刻蚀较软的或较薄的材料。



新技术路线步入量产,对刻蚀提出更高技术要求。三星宣布将成为全球首家采用 GAA 工艺进行 3nm 制程的生产,相较于 FinFET 工艺,GAA 被誉为突破 3nm 制程 的有力手段。每一代芯片新技术的突破,晶体管体积都会不断缩小,同时性能不断 提升。从平面 MOSFET 结构到 FinFET 晶体管架构,再到后面的 GAA 结构甚至 MBCFET 结构,晶体管的复杂度不断提升,对刻蚀和薄膜沉积等核心技术提出了更 高的要求。

海外厂商占据 8 成份额,国内厂商正迎难而上。从全球范围来看,刻蚀设备主要由 美国泛林半导体、日本东京电子以及美国应用材料三家占据领先地位,2020 年三家 市场份额合计占比近 9 成。目前国内有中微公司和北方华创两家刻蚀设备供应商, 从营收端来看,2020 年和 2021 年中微公司和北方华创刻蚀设备营收占国内总刻蚀 市场规模的 9.19%和 10.48%左右,随着公司的订单逐步释放,国产化率有望明显提 升。

中微公司是国内领先刻蚀设备厂商,持续创新,不断推出新产品。中微公司半导体 刻蚀设备主要包含 CCP 刻蚀设备、ICP 刻蚀设备以及深硅刻蚀设备,在逻辑、存储 等诸多领域具有广泛应用。在逻辑芯片制造环节,公司开发的 12 英寸高端刻蚀设备 已运用在国内外知名客户 65nm 到 5nm 制程的芯片生产线上;同时,公司根据客户 需求,已开发出 5nm 及更先进刻蚀设备用于若干关键步骤的加工,并已获得行业领 先客户的批量订单。公司目前正在开发新一代刻蚀设备和包括大马士革在内的刻蚀 工艺,能够涵盖 5nm 以下更多刻蚀需求。在 3D NAND 芯片制造环节,公司的 CCP 刻蚀设备可应用于 64 层、128 层及更高层数 NAND 的量产,并且正在开发新一代 能够涵盖 200 层以上极高深宽比的刻蚀设备和工艺。此外,公司的 ICP 刻蚀设备已 经在多个逻辑芯片和存储芯片厂商的生产线上量产,正在进行下一代产品的技术研 发,以满足 5nm 以下的逻辑芯片、1X 纳米的 DRAM 芯片和 200 层以上的 3D NAND 芯片等产品的刻蚀需求。

2.3. 薄膜沉积设备:集成电路奠基者

薄膜沉积支撑集成电路,多种类型满足不同需求。薄膜沉积技术是以各类化学反应 源在外加能量(包括热、光、等离子体等)的驱动下激活,将由此形成的原子、离 子、活性反应基团等在衬底表面进行吸附,并在适当的位置发生化学反应或聚结, 渐渐形成几纳米至几微米不等厚度的金属、介质、或半导体材料薄膜。作为芯片衬 底之上的微米或纳米级薄膜,是构成了制作电路的功能材料层。随着集成电路制造 不断向更先进工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日 趋复杂,所需要的薄膜层数越来越多,对绝缘介质薄膜、导电金属薄膜的材料种类 和性能参数不断提出新的要求。薄膜设备的发展支撑了集成电路制造工艺向更小制 程发展。



薄膜沉积设备市场增速稳,规模大。随着半导体行业整体景气度的提升,全球半导 体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。根据 Maximize Market Research 数据统计,2017-2020 年全球半导体薄膜沉积设备市场规 模分别为 125 亿美元、145 亿美元、155 亿美元和 172 亿美元,2021 年扩大至约 190 亿美元,年复合增长率为 11.04%。预计全球半导体薄膜沉积设备市场规模在 2025 年将从 2021 年的 190 亿美元扩大至 340 亿美元,保持年复合 15.7%的增长速度。

下游应用多样化促进各种薄膜沉积设备需求。近年来,下游产业新技术、新产品快 速发展,正迎来市场快速增长期。5G 手机、新能源汽车、工业电子等包含的半导体 产品数量较传统产品大比例提高;人工智能、可穿戴设备和物联网等新业态的出现, 对于半导体产品产生了新需求。经过不断发展,根据不同的应用演化出了 PECVD、 LPCVD、溅射 PVD、ALD 等不同的设备用于晶圆制造的不同工艺。其中,PECVD 是薄膜设备中占比最高的设备类型,占整体薄膜沉积设备市场的 33%;ALD 设备目 前占据薄膜沉积设备市场的 11%;SACVD 是新兴的设备类型,属于其他薄膜沉积 设备类目下的产品,占比较小。

芯片工艺进步及结构复杂化提高薄膜设备需求。在晶圆制造过程中,薄膜起到产生 导电层或绝缘层、阻挡污染物和杂质渗透、提高吸光率、临时阻挡刻蚀等重要作用。随着集成电路的持续发展,晶圆制造工艺不断走向精密化,芯片结构的复杂度也不 断提高,需要在更微小的线宽上制造。制造商要求制备的薄膜品种随之增加,最终 用户对薄膜性能的要求也日益提高。这一趋势对薄膜沉积设备产生了更高的技术要 求,市场对于高性能薄膜设备的依赖逐渐增加。产线升级,薄膜设备需求陡增。随着集成电路的持续发展,产线逐渐升级,晶圆厂 对薄膜沉积设备数量和性能的需求将继续随之提升。越先进制程的产线所需的薄膜 沉积设备数量越多。先进制程使得晶圆制造的复杂度和工序量都大大提升,为保证 产能,产线上需要更多的设备。



进入壁垒高,行业高度垄断。半导体设备属于高新技术领域,相关厂商均在各自专 业技术领域耕耘几十年。从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断 的竞争局面,行业基本由应用材料(AMAT)、先晶半导体(ASMI)、泛林半导体(Lam)、 东京电子(TEL)等国际巨头垄断。2019 年,ALD 设备龙头东京电子和先晶半导体 分别占据了 31%和 29%的市场份额,剩下 40%的份额由其他厂商占据;而应用材料 则基本垄断了 PVD 市场,占 85%的比重,处于绝对龙头地位;在 CVD 市场中,应 用材料全球占比约为 30%,连同泛林半导体的 21%和 TEL 的 19%,三大厂商占据 了全球 70%的市场份额。

2.4. 其他前道设备:占比不高但缺一不可

除了光刻、薄膜沉积以及刻蚀三大核心工艺外,其他前道设备虽然占比不高,但同 样不可或缺。从芯片制造工艺来看,包括涂胶显影设备、清洗设备、离子注入设备 以及扩散设备。其中涂胶显影设备与光刻机共同完成光刻工艺;清洗机与 CMP 共 同完成芯片的各步骤的清洗与抛光;离子注入机和扩散炉则专注于掺杂工艺。

涂胶显影设备是光刻工艺中除光刻机外的另一核心设备。涂胶显影设备是光刻工序 中与光刻机配套使用的涂胶、烘烤及显影设备,包括涂胶机、喷胶机和显影机,在 8 英寸及以上晶圆的大型生产线上,此类设备一般都与光刻设备联机作业,组成配 套的圆片处理与光刻生产线,与光刻机配合完成精细的光刻工艺流程。作为光刻机 的输入(曝光前光刻胶涂覆)和输出(曝光后图形的显影),涂胶显影机的性能不仅 直接影响到细微曝光图案的形成,其显影工艺的图形质量和缺陷控制对后续诸多工 艺(诸如蚀刻、离子注入等)中图形转移的结果也有着深刻的影响。



日本厂商占据前道涂胶显影机领先地位,国内芯源微重点突破。在光刻工序涂胶显 影设备领域,主要企业有日本东京电子(TEL)、日本迪恩士(DNS)、德国苏斯微 (SUSS)、台湾亿力鑫(ELS)、韩国 CND 等,国内前道涂胶显影目前只有芯源微 能提供相关产品。相对而言,芯源微技术水平整体弱于东京电子和迪恩士,产品的 应用领域也不如竞争对手完整。尽管目前国产化率不高,但随着国内自主产线的通 线,有望进入设备快速验证期,届时有望快速提升产品竞争力,扩大市场份额。

在全球清洗设备市场,日本 DNS 公司占据 40%以上的市场份额,此外,TEL、LAM 等也在行业占据了较高的市场份额,市场集中度较高。国内的清洗设备领域主要有 盛美半导体、北方华创、芯源微、至纯科技。其中,盛美半导体主要产品为集成电 路领域的单片清洗设备和单片槽式组合清洗设备;北方华创收购美国半导体设备生 产商 Akrion Systems LLC 之后主要产品为单片及槽式清洗设备;芯源微产品主要应 用于集成电路制造领域的单片式刷洗领域;至纯科技具备生产 8-12 英寸高阶单晶圆 湿法清洗设备和槽式湿法清洗设备的相关技术。



下游应用多样化促进 CMP 设备需求。集成电路按制造工艺及应用领域主要分为逻 辑芯片、3D NAND 闪存芯片、DRAM 内存芯片,上述三种芯片虽然在结构及制造 工艺上有明显的区别,但无论哪种芯片的制造,都要求每层制造表面必须保持纳米 级全局平坦化,以使下一层微电路结构的加工制造成为可能,因此在集成电路制造 流程中 CMP 设备必不可缺且需要循环使用,通常每片芯片制造完成需经过几十道 抛光工艺,尤其是集成电路制造工艺在纳米节点上的持续推进,将使 CMP 设备的 平坦化应用机会及关键作用愈加凸显。

平坦化工艺助力芯片制造。CMP 设备系依托 CMP 技术的化学-机械动态耦合作用原 理,通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除 与全局纳米级平坦化,在硅片制造、集成电路制造、封装测试等领域具有重要应用。CMP 设备在制造芯片过程中起到重要的作用,保证芯片每层之间足够平坦,确保了 芯片的整体性能和可靠性。(1)在硅片制造领域,CMP 设备及工艺实现平整洁净的 抛光片;(2)在集成电路制造领域,芯片制造过程按照技术分工主要可分为薄膜淀 积、CMP、光刻、刻蚀、离子注入等工艺环节,各工艺环节实施过程中均需要依靠 特定类型的半导体专用设备;(3)在先进封装领域,CMP 工艺会越来越多被引入并 大量使用,其中硅通孔技术、扇出技术、2.5D 转接板、3DIC 等将用到大量 CMP 工 艺,这将成为 CMP 设备除 IC 制造领域外一个大的需求增长点。

芯片复杂化,CMP 步骤次数提升。随着芯片制造技术发展,CMP 工艺在集成电路 生产流程中的应用次数逐步增加,以逻辑芯片为例,65nm 制程芯片需经历约 14 道 CMP 步骤,而 7nm 制程所需的 CMP 处理增加为 30 道;晶体管结构从平面型向 3DFinFET 转变,新增 10 次 CMP 过程;存储器由 2D 向 3D 转换,新增 5 次 CMP 步骤。

进入壁垒高,技术路径延续性强。半导体设备属于高新技术领域,相关厂商均在各 自专业技术领域耕耘几十年。全球 CMP 设备市场处于高度垄断状态,主要由美国 应用材料和日本荏原两家设备制造商占据,两家制造商合计拥有全球 CMP 设备超 过 90%的市场份额,尤其在 14nm 以下最先进制程工艺的大生产线上所应用的 CMP 设备仅由两家国际巨头提供。根据 SEMI 统计,2019 年美国应用材料和日本荏原机 械市占率合计达 95%,而其他厂商总份额仅 5%。华海清科是目前国内唯一实现 12 英寸系列 CMP 设备量产销售的半导体设备供应商,打破了国际厂商的垄断,填补 国内空白并实现进口替代。据其营收统计,2021 年国内市场占有率已经达到 25.8%, 有望实现 CMP 设备的完全国产替代。

离子注入与热扩散共同进行掺杂工艺。离子注入是一种添加工艺,利用高能量带电 离子束注入的形式,将掺杂原子强行掺入半导体中,从而控制半导体的导电率。离 子注入提供了比扩散过程更好的掺杂工艺控制,例如在扩散工艺中掺杂物的浓度和 结深无法独立控制,而在离子注入中可以通过离子束电流和注入时间控制掺杂物浓 度,通过离子的能量控制掺杂物的结深,因此离子注入是目前半导体行业中的主要 掺杂方法。



精确可控性使得离子注入技术成为最重要的掺杂方法。随着芯片特征尺寸的不断减 小和集成度增加,各种器件也在不断缩小,由于晶体管性能受掺杂剖面的影响越来 越大,离子注入作为唯一能够精确控制掺杂的手段,且能够重复控制掺杂的浓度和 深度,使得现代晶圆片制造中几乎所有掺杂工艺都从热扩散转而使用离子注入来实 现。

根据离子束电流和束流能量范围可将离子注入机分为三大类。三类离子注入机分别 是中低束流离子注入机、低能大束流离子注入机、高能离子注入机。另外还有用于 注入氧的氧注入机,或者注入氢的氢离子注入机。离子注入机包含 5 个子系统:气 体系统、电机系统、真空系统、控制系统和射线系统。其中,射线系统为最重要的 子系统。

离子注入机约占半导体前道设备的 2~3%,大束流离子注入机占比过半。从半导体 前道设备规模来看,离子注入机约占 2~3%,对应 2021 年全球市场规模约 22 亿美 元,国内市场规模 6 亿美元。在三类主要离子注入机中,大束流离子注入机占比约 60%,中束流离子注入机占比约 20%,高能离子注入机占比约 18%,可分别推算出 2021 年国内市场中三类离子注入机市场规模为 3.6/1.2/1.08 亿美元。集成电路离子注入机的市场份额高度集中,国内凯世通完成 0 到 1 的突破。美国应 用材料公司、Axcelis 占据全球大部分市场份额,其中美国应用材料公司在离子注入 机产品上的市占率达到70%,主要产品包括大束流离子注入机、中束流离子注入机、 超高剂量的离子注入。美国 Axcelis 主要产品高能离子注入机市占率 55%。除此以 外,日本 Nissin 主要生产中束流离子注入机,在中束流离子注入机的市占率约为 10%;日本 SEN 公司的产品包括高束流离子注入机、中束流离子注入机、高能量离子注入 机,但在中国大陆地区的市占率相对较低。在国内市场,万业企业旗下凯世通率先 完成了国产离子注入机从 0 到 1 的突破,2022 年上半年取得在手订单超过 11 亿元, 并逐步向客户批量交付低能离子注入机,迈入 1 到 N 的放量阶段。



2.5. 测试设备:晶圆质量把关人

晶圆与芯片两大检测领域,三大设备协同作用。集成电路生产需要检测工艺是否合 格、版图设计是否合理、产品是否可靠,而这些都需要用到专门的测试设备,以此 提高芯片制造水平,保证芯片质量。测试设备主要有测试机、分选机和探针台三大 类设备,其中测试机用于检测芯片功能和性能,对芯片施加输入信号,采集输出信 号来判断芯片在不同工作条件下功能和性能的有效性;而分选机和探针台则是将芯 片的引脚与测试机的功能模块起来,进而实现批量自动化测试。在晶圆检测中,探 针台将晶圆传送至测试位置,芯片的 Pad 点通过探针、专用连接线与测试机连接, 测试机通过 I/O 信号,判断芯片性能是够是否达到规范设计要求。在芯片检测中, 分选机将被测芯片逐个自动传送至测试工位,测试机对芯片进行性能检测,最后分 选机将被测芯片进行标记、分选、收料。

预计 2022 年全球半导体测试设备市场规模达到 82 亿美元。根据华经产业研究院, 2021 年全球半导体测试设备市场规模为 78 亿美元,同比增长 30%,预计 2022 年测 试设备增长 5%,达到 82 亿美元。对于细分的半导体测试设备,2021 年全球测试 机、分选机和探针机占半导体测试设备的比例分别为 63.1%、17.4%和 15.2%,市场 规模约为 49.2、13.6、11.9 亿美元。据此可以简单估算,2022 年测试机、分选机和 探针机的全球市场规模分别约为 51.7、14.3 和 12.5 亿美元。

数字测试机相比于模拟测试机难度较高,SoC 占据主要市场份额。根据测试对象的 不同,测试机可以分为 SoC、存储、模拟和 RF 等,其中数字测试机主要包括 SoC 和存储测试机。相比于模拟测试机,数字测试机的技术难度更高。从市场份额来看, SoC 测试机占据 60%份额,与存储测试机共同占据全球 80%市场份额。

测试机领域国产份额较低,本土厂商逐步追赶。全球测试机行业被泰瑞达和爱德万 占据大部分市场份额,据华经情报网援引 SEMI 数据,2021 年全球半导体测试机市 场中泰瑞达、爱德万和科休的市场份额占比分别为 51%、33%、11%,合计市占率 为 95%,份额高度集中。在国内市场,竞争格局相对分散,国内厂商华峰测控和长 川科技的市占率分别为 8%和 5%,正逐步追赶当中,长川科技数字测试机等产品已 经实现有效突破。



分选机市场国产替代空间较大,探针台由日本企业垄断。不同于测试机,全球分选 机的竞争格局相对分散,2020 年前五大分选机厂商分别为科休、Xcerra、爱德万、 台湾鸿劲、长川科技,市占率分别为 21%、16%、12%、8%、2%。其中大陆企业只 有长川科技并且市占率仅为 2%,未来国产替代的空间广阔。而探针台市场几乎由 日本东京电子和东京精密两家占据,2020 年两家企业在全球范围市占率分别为 46% 和 42%,具有极高的进入壁垒。

3. 设备零部件:位处上游,双重受益于设备需求和晶圆厂直接采购

3.1. 全球晶圆厂“扩产+直接采购”,上游零部件迎机遇

半导体设备上游零部件,单一产值虽小但品类繁多,综合价值量大,地位重要。从 半导体行业来看,零部件年产值上百亿美元,却是奠定信息产业几十万亿美元产值 的重要基石。七大类设备零部件从机械、结构、腔体等方面向设备供给各种工艺件、 结构件、模组系统。其中以机械类占比最高,达到半导体设备市场规模的 12%,对 应 2021 年有百亿美元空间。国内半导体零部件产业起步较晚,我国半导体零部件产 业总体水平偏低,高端产品供给能力不足,产品可靠性、稳定性和一致性较差的问 题日益凸显。

半导体设备需求+晶圆厂直接采购,零部件实现双轮驱动。据统计,2020 年中国本 土晶圆制造厂商采购零部件金额约为 4.3 亿美元,采购的设备零部件主要有石英 (Quartz)、射频发生器(RF Generator)、各种泵(Pump)等,占零部件采购金额的 比重达到或超过 10%。此外,各种阀门(Valve)、吸盘(Chuck)、反应腔喷淋头(Shower Head)、边缘环(Edge Ring)等零部件的采购占比也比较高。按照现有本土晶圆制 造产能计划,设备和产线同时有零部件的采购需求,形成双轮驱动。在晶圆厂的成 本当中,设备折旧与当年设备销售之间存在一定比值关系,通过将设备销售额分 5 年折旧可得每年晶圆厂设备折旧额约为设备销售额的 70%。通过设备毛利率与零部 件占成本的比例,可以估算出 2021 年前道设备和后道设备带来的零部件规模分别 为 407 亿美元和 61 亿美元。据晶合集成招股说明书披露,晶圆厂直接采购材料中, 零配件占成本的比重约为 10%。由此可以测算得出,2021 年全球由晶圆厂和封测厂 带来的零部件规模分别达到 113 亿美元和 36 亿美元,全球零部件市场规模达到 618 亿美元,空间广阔,未来可期。

3.2. 设备零部件细分种类多,海外厂商占据领先

半导体零部件种类多,市场细碎,多为国际巨头垄断。半导体零部件产业通常具有 高技术密集、学科交叉融合、市场规模占比小且分散,但在价值链上却举足轻重等 特点,国际领军企业以跨行业多产品线发展和并购策略为主。根据 VLSI 的数据, 2020年全球半导体零部件领军供应商前10名均为海外厂商,且长期占据领先地位。



设备零部件国产化率较低,替代空间巨大。目前我国本土零部件企业的技术能力、 工艺水平、产品精度和可靠性远远无法满足国内设备和晶圆制造厂商的需求。据芯 谋研究数据,在众多零部件中,仅有石英件、Edge ring 和喷淋头的国产化率在 10% 以上,而腔体、真空规(Vacuum Gauge)和 O-ring 还尚未有效突破。实际上,高端 零部件市场主要被美国、日本、欧洲供应商占有;中低端零部件市场主要被韩国、 中国台湾供应商占据。随着国内半导体产业新建产能及扩产速度加快,叠加新冠疫 情造成物流运输服务受阻导致国外零部件交期不断延迟,为我国一些具有高成长潜 力的国内半导体零部件企业带来加快进行国产替代的机会。

4. 增长逻辑:国产替代进入 2.0 阶段,“设备+零部件”国产化 将是贸易封锁的突破口

4.1. 美国对华半导体遏制加速,国产替代迫在眉睫

限制范围从公司扩大到行业,发展问题转向生存问题。随着我国经济实力与科技水 平的不断发展,正在面临美国层层加码的限制措施。起初将中兴通讯、华为列入实 体清单,实行出口管制以限制中国 5G 产业发展。随后将限制公司数量进一步扩大, 禁止来自海康、大华等多家中国公司的产品进入美国。而今年,更是对整个半导体 行业进行全方位的限制,从基本的设备、软件、芯片等对中国进行科技封锁。当前 国内半导体行业面临的问题已经从“发展”转向了“生存”,如何在外部重重封锁下 保证企业的生存和行业的发展已经成为亟待解决的问题。

限制措施颁布频次越来越快,影响程度越来越深。回顾近期美国对我国半导体行业 颁布的一系列限制措施,可以发现整体的限制领域正在逐步从下游应用向上游制造 转移。从最初的的 5G 产品出口限制到现在的设备、软件限制,其影响程度越发深 远。底层的设备与软件,正是支撑整个数字经济的核心,倘若无法破局,对我国发 展将是极大的掣肘。半导体“铁幕”正在形成,国产替代迫切性加剧。随着美国发布《芯片法案》,遏制 中国半导体发展的“铁幕”正在形成。该法案将为美国半导体研发、制造以及劳动 力发展提供 527 亿美元。其中 390 亿美元将用于半导体制造业的激励措施,20 亿美 元用于汽车和国防系统使用的传统芯片。此外,在美国建立芯片工厂的企业将获得 25%的减税。而接受法案提供的联邦资金和税收补贴的芯片制造商将被禁止十年内 在中国大陆建造先进制程产线,以此遏制中国半导体发展。除此以外,还限制美国 14nm 及以下制程半导体设备和先进制程 EDA 软件出口中国,从上游对中国半导体 产业进行封锁。在此国际局势下,半导体国产化进程需要进一步加强。而目前各种 半导体前道核心设备的国产化率还很低,如光刻机等设备尚未形成有效突破,整体 国产化率还有极大的提升空间。



4.2. 半导体自主化愈发重要,国产晶圆厂逆势扩产正在进行

国内晶圆厂扩产稳步进行,半导体设备需求强劲。据 SEMI 最新统计数据显示,中 国大陆在晶圆厂建厂速度全球第一,预计至 2024 年底,将建立 31 座大型晶圆厂, 且全部锁定成熟制程。据集微网统计,2021 年底中国大陆现有 12 英寸线和 8 英寸 线的产能分别为 120 万片/月和 123 万片/月,预计今年将分别新增 36.6 万片/月和 9.6万片/月,对应半导体设备需求强劲。根据 IC Insights 的数据,中国大陆晶圆产能在 全球的占比约 16.2%。随着国内晶圆厂的快速扩产,预计到 2023 年,中国大陆晶圆 产能在全球的占比有望达24%,产能占比提升将极大地带动半导体设备的市场规模。

紧抓成熟制程,中芯国际产能快速扩张。作为国内头部晶圆代工厂,中芯国际今年 陆续有 4 条 12 英寸在建产线,分别位于北京、上海、深圳和天津。其中深圳厂共投 资 23.5 亿美元,计划产能 4 万片/月,预计 2022 年投产;上海临港厂共投资 88.7 亿 美元,计划产能 10 万片/月;北京厂共投资 76 亿美元,计划产能 10 万片/月;天津 厂公投资 75 亿美元,计划产能 10 万片/月。各大在建或待建晶圆厂均针对 28nm 及 以上的成熟制程,服务于通讯、消费电子、汽车电子、工业等领域,为我国相关领 域的芯片自主化提供极大助力。

4.3. 我国先进制程受到针对性阻击,但一旦突破将开辟更广新天地

突破先进制程,打开需求新空间。先进制程一般指 28nm 以下的制程节点,主要用 于高性能、低功耗的应用领域,如手机、PC、IDC 等设备的 CPU、GPU、DRAM 等产品。目前国产产线正在努力突破先进制程技术节点,国内晶圆厂尚未大规模进 行 14nm 产线的扩产,但随着技术的更新,开展 14nm 先进制程产线趋势必不可当。当前由于外部诸多限制,中国先进制程产能扩张受限,但是若以全球先进制程产能 的 15%测算,中国大陆 12 英寸先进制程产能还有 6 倍的增长空间。假设未来全球 先进制程产能达到 40 万片/月,国内设备市场将新增近百亿美元规模,带来国产设 备和零部件显著营收增长。



4.4. 半导体中的“打印机墨盒”:双轮驱动半导体零部件,更大市场空间

“打印机墨盒”逻辑,半导体零部件不单单用于设备。对于打印机的墨盒而言,既 能作为打印机的一部分进行整体出售,又能通过打印店额外采购进行销售,打印机 的销售与打印店的直采共同构成了墨盒的市场空间。这一逻辑同样适用于半导体零 部件,零部件之于半导体设备,就如同墨盒之于打印机。对于半导体零部件而言, 既是半导体设备的重要组成部分,也是晶圆厂场务建设与制造加工过程中必不可少 的环节,设备零部件与晶圆厂直采共同驱动半导体零部件的整体发展。

晶圆厂直采零部件规模易被忽略,增量空间过百亿美元。谈到半导体零部件市场, 第一反应就是设备零部件,据前文测算说明,当前设备零部件市场规模约占设备规 模的一半。以 2021 年为例,全球设备市场空间 1026 亿美元,按照设备毛利率 50%, 设备零部件占设备成本的 90%测算,对应设备零部件规模达到 461 亿美元。但是, 除了设备零部件,晶圆厂直采同样能贡献百亿美元的市场空间。以晶圆制造为例, 据统计,全球晶圆厂平均毛利率在 45%左右,晶合集成招股说明书披露晶圆厂直采 零配件占成本约 10%,由此可以计算 2021 年前道晶圆制造厂和后道封装厂对半导 体零部件的直采带来了共计约 150 亿美元的市场空间。未来在国内晶圆厂加速扩产 的情况下,设备零部件与晶圆厂直采将带来更加旺盛的半导体零部件需求。当前国内晶圆厂尚在产能扩张期,远期存量市场更换周期带动零部件二次增长。当 前半导体零部件的市场规模主要由设备市场规模与晶圆厂新建产能共同决定,半导 体零部件的增速可简单认为是设备增速与晶圆厂资本开支增速的加权平均。随着晶 圆厂产能不断扩大,存量市场中的设备零部件和晶圆厂直采零部件将会进入更换周 期,使其更加贴近“打印机墨盒”逻辑。随着时间推移,由设备和晶圆厂产线中半 导体零部件更换所贡献的市场空间将会逐步放大,构建半导体零部件市场规模第二 增长曲线。



(本文仅供参考,不代表我们的任何投资建议。


关于我们

我们是一家专注于分享国内外各类行业研究报告/专题热点行业报告/白皮书/蓝皮书/年度报告等各类优质研究报告分享平台。所有报告来源于国内外数百家机构,包含传统行业、金融娱乐、互联网+、新兴行业、医疗大健康等专题研究.....目前已累积收集近80000+份行业报告,涉及众多大板块,多个细分领域。

文琳编辑

免责声明:转载内容仅供读者参考,观点仅代表作者本人,不构成投资意见,也不代表本平台立场。若文章涉及版权问题,敬请原作者添加 wenlin-swl  微信联系删除。

为便于研究人员查找相关行业研究报告,特将2018年以来各期文章汇总。欢迎点击下面红色字体查阅!

文琳行业研究 2018年—2022年文章汇总

文琳编辑

今日导读:点击下面链接可查阅

公众号 :文琳行业研究

  1. 2022年智能养老市场洞察报告

  2. 2022年银发族消费趋势报告

  3. 2022年中国商业养老服务市场发展研究报告

  4. 领英:2022全球大健康领域人才趋势洞察报告

  5. 毕马威首发生物科技创新50报告:中国企业进入全球研发领先梯队

  6. 2022年生物医药行业研究报告

  7. 2022年医疗信息化专题行业研究报告

  8. 医疗服务行业深度报告:供需缺口孕育民营医疗大机遇


今日导读:点击下面链接可查阅

公众号 :文琳阅读

  1. 你要了解的世界杯知识,看球必备!这份观战指南请收好!

  2. 卡塔尔世界杯,可能是最好哭的一届…卡塔尔世界杯,唯一上场的中国人!

  3. 花费2200亿美元的卡塔尔世界杯有多“壕”!

  4. 世界杯倒计时!豪掷2200亿美元的卡塔尔,究竟是个怎样的国家?

  5. 世界杯来临,重温纪录片《一代球王马拉多纳》

  6. 音乐欣赏:世界杯主题曲:嘟咕嘟咕哒嘎!网友:太洗脑

  7. 被联合国评选的9座「世界美食之都」,中国占了5个,这五座城市到底凭什么?

  8. 世界杯 1962~2018世界杯主题曲合集(附歌词中文字幕)




公众号 :就业与创业
点击下方可看

  1. 中国各省市工业实力排行榜

  2. 一文说明白人均GDP达到中等发达国家水平到底指的是什么

  3. 揭秘巴菲特的日程表:这“五小时”很关键

  4. 网红品牌被曝拖欠数百万!多位明星持股

  5. “专精特新”专板指导意见出炉,监管层释放哪些利好信号?

  6. 中国泡菜,在韩国卖爆了


继续滑动看下一个
向上滑动看下一个

您可能也对以下帖子感兴趣

文章有问题?点此查看未经处理的缓存