查看原文
其他

佳文拾萃之电路与系统技术(2020~2021年45篇好文推荐)



NO.4[ 佳文拾萃 ]之 电路与系统技术

作为信息产业的基石,集成电路已经成为一个国家创新技术的重要标志,也是国家信息产业做大做强,实现自主可控发展的重要支撑。目前,先进芯片技术领域在如何提高片上算力、降低芯片能耗、提高芯片安全等方向都存在很多研究的热点和难点问题。近年来,非冯诺依曼架构的处理器和系统芯片架构创新,超低功耗或者超高能效的芯片电路、架构和系统优化技术,毫米波/太赫兹集成电路芯片技术,以及后摩尔时代的异质集成芯片创新技术等都成为学术研究的前沿课题。今日小编精选梳理了2020年到2021年《电子与信息学报》发表的电路与系统领域的高质量论文45篇,欢迎阅读品鉴!



01 基于忆阻器的混沌、存储器及神经网络电路研究进展

作者:王春华, 蔺海荣, 孙晶如, 周玲, 周超, 邓全利  

第一单位:湖南大学信息科学与工程学院

关键词:忆阻器 / 混沌电路 / 存储器 / 神经网络 

摘要:忆阻器是除电阻、电容、电感之外发现的第4种基本电子元件,它是一种具有记忆特性的非线性器件,可用于混沌、存储器、神经网络等电路与系统的实现。该文对基于忆阻器的混沌电路、存储器、神经网络电路的设计与神经动力学的国内外研究进行了综述,并给出了对它们的研究展望。

引用本文:王春华, 蔺海荣, 孙晶如, 周玲, 周超, 邓全利. 基于忆阻器的混沌、存储器及神经网络电路研究进展[J]. 电子与信息学报, 2020, 42(4): 795-810. doi: 10.11999/JEIT190821


02 毫米波雷达前端芯片关键技术探讨

作者:刘兵, 李旭光, 傅海鹏, 马凯学

第一单位:天津大学微电子学院

关键词:毫米波雷达 /  芯片 /  阻抗匹配 /  功率合成 /  相控阵 

摘要:毫米波雷达的距离分辨率和最大可工作距离通常受雷达射频信号带宽和发射功率的限制,具有宽工作带宽、高输出功率、高灵敏度、高精度相位控制的毫米波雷达芯片是实现高性能毫米波雷达系统的关键。毫米波雷达芯片的设计难点主要集中在阻抗匹配、噪声降低、功率提升、相位控制等方面。因此,该文针对毫米波雷达前端芯片设计难点的关键解决技术进行探讨和综述。 

引用本文:刘兵, 李旭光, 傅海鹏, 马凯学. 毫米波雷达前端芯片关键技术探讨[J]. 电子与信息学报, 2021, 43(6): 1485-1497. doi: 10.11999/JEIT210076


03 基于忆阻器的感存算一体技术综述

作者:张章, 李超, 韩婷婷, 许傲, 程心, 刘钢, 解光军

第一单位:合肥工业大学电子科学与应用物理学院

关键词: 忆阻器 /  感存算一体 /  非冯·诺依曼计算架构 

摘要:忆阻器的低功耗、高响应、纳米级、非易失性等特性,在实现非冯·诺依曼计算架构中展现出巨大潜力。基于忆阻器的高密度横梁阵列可实现数据存储及并行计算一体的逻辑电路和类脑计算电路。此外,纳米传感器与忆阻器进一步集成,采集的信号直接送往忆阻器阵列进行运算和存储,感知、存储与计算一体化的芯片技术成为新的研究热点。该文对基于忆阻器的存算一体技术、感存算一体技术的研究现状进行综述,并给出研究前景展望。 

引用本文:张章, 李超, 韩婷婷, 许傲, 程心, 刘钢, 解光军. 基于忆阻器的感存算一体技术综述[J]. 电子与信息学报, 2021, 43(6): 1498-1509. doi: 10.11999/JEIT201102


04 面向深度神经网络加速芯片的高效硬件优化策略

作者:张萌, 张经纬, 李国庆, 吴瑞霞, 曾晓洋

第一单位:东南大学电子学院国家专用集成电路系统工程技术研究中心

关键词:深度神经网络 /  目标检测 /  神经网络加速器 /  低功耗 /  硬件优化 

摘要:轻量级神经网络部署在低功耗平台上的解决方案可有效用于无人机(UAV)检测、自动驾驶等人工智能(AI)、物联网(IOT)领域,但在资源有限情况下,同时兼顾高精度和低延时来构建深度神经网络(DNN)加速器是非常有挑战性的。该文针对此问题提出一系列高效的硬件优化策略,包括构建可堆叠共享计算引擎(PE)以平衡不同卷积中数据重用和内存访问模式的不一致;提出了可调的循环次数和通道增强方法,有效扩展加速器与外部存储器之间的访问带宽,提高DNN浅层网络计算效率;优化了预加载工作流,从整体上提高了异构系统的并行度。经Xilinx Ultra96 V2板卡验证,该文的硬件优化策略有效地改进了iSmart3-SkyNet和SkrSkr-SkyNet类的DNN加速芯片设计。结果显示,优化后的加速器每秒处理78.576帧图像,每幅图像的功耗为0.068 J。

引用本文:张萌, 张经纬, 李国庆, 吴瑞霞, 曾晓洋. 面向深度神经网络加速芯片的高效硬件优化策略[J]. 电子与信息学报, 2021, 43(6): 1510-1517. doi: 10.11999/JEIT210002


05 一种嵌入铁电晶体管内容寻址存储器的高能效浮点运算结构

作者:张力, 高迪, 陈烁, 卢旭东, 庞展曦, 陈闯涛, 尹勋钊, 卓成

第一单位:浙江大学信息与电子工程学院

关键词:铁电场效应晶体管 /  三元内容寻址寄存器 /  浮点运算 /  能效 

摘要:随着数据密集型应用的日益增多,内存墙问题已成为制约计算效率的瓶颈。该文提出一种新型的浮点数(FP)运算结构,该结构嵌入了基于铁电场效应晶体管(FeFET)的三元内容寻址存储器(TCAM)以实现高效的计算。通过特定规则设计的超高密度TCAM结构,可以用能效更高的TCAM搜索操作代替部分传统浮点运算,从而节约整体能耗。仿真实验证明,该文所提结构和运算执行流程,与常规浮点运算单元(FPU)相比,可以降低多达33%的能耗。

引用本文:张力, 高迪, 陈烁, 卢旭东, 庞展曦, 陈闯涛, 尹勋钊, 卓成. 一种嵌入铁电晶体管内容寻址存储器的高能效浮点运算结构[J]. 电子与信息学报, 2021, 43(6): 1518-1524. doi: 10.11999/JEIT200979


06 基于FPGA的水平集图像分割算法加速器

作者:刘野, 肖剑彪, 吴飞, 常亮, 周军

第一单位:电子科技大学

关键词:FPGA /  硬件加速器 /  水平集 /  图像分割 

摘要:水平集算法因其出色的性能,在图像分割领域中得到了广泛的应用。同时,与基于深度学习的图像分割算法相比,水平集算法不需要训练数据,大幅降低了数据标记带来的工作量。然而,目前水平集算法主要是基于软件开发,涉及大量复杂的计算,以及计算的多次迭代,导致较高的处理延时与功耗。为了加快水平集算法的处理速度和降低功耗,该文提出了一种基于FPGA的水平集图像分割算法加速器,其中包含4个设计创新点:任务级并行处理、图像分块像素级并行处理、全流水线处理架构、分时复用的梯度和散度算子处理。实验结果表明,与在CPU上执行的水平集算法相比,该文提出的硬件加速器处理速度提升10.7倍,功耗仅为2.2 W。 

引用本文:刘野, 肖剑彪, 吴飞, 常亮, 周军. 基于FPGA的水平集图像分割算法加速器[J]. 电子与信息学报, 2021, 43(6): 1525-1532. doi: 10.11999/JEIT210012


07 RISCV密码专用处理器能效概率模型与体系结构研究

作者:李伟, 别梦妮, 陈韬, 吴艾青, 南龙梅

第一单位:解放军信息工程大学

关键词:密码处理器 /  机器学习 /  能效概率模型 /  高能效

摘要:该文以高能效为目标,建立了密码专用处理器能效概率模型,并指导高能效密码专用处理器体系结构设计。该文将面向密码领域的专用指令处理器设计空间探索问题描述为“1”值在配置矩阵中的定位问题,通过引入概率矩阵进一步将定位问题转化为最优配置的概率问题,并基于机器学习思想提出了密码专用处理器最高能效概率模型。实验证明,该文提出的能效概率模型平均经过2300次迭代输出最终结果,且预测准确率达到92.7%。根据最高能效概率模型,对密码专用处理器设计空间进行探索,获取满足高能效需求的密码专用处理器运算单元集合,以扩展指令的方式将其集成到开源通用64位RISCV处理器核心Araine中,提出高能效密码专用处理器体系结构。将该处理器在CMOS 55 nm工艺下进行逻辑综合,结果表明,该文提出的RISCV密码专用处理器与扩展前相比面积增大了426874 μm2,关键延迟增加了0.51 ns,完成密码算法总时间面积积增幅之和为0.46,执行常见密码算法能效比在1.61~35.16 Mbps/mW范围内。

引用本文:李伟, 别梦妮, 陈韬, 吴艾青, 南龙梅. RISCV密码专用处理器能效概率模型与体系结构研究[J]. 电子与信息学报, 2021, 43(6): 1541-1549. doi: 10.11999/JEIT210004


08 基于施密特触发的高鲁棒性亚阈值标准单元

作者:张跃军, 韩金亮, 张会红

第一单位:复旦大学专用集成电路与系统国家重点实验室

关键词:标准单元 /  低功耗 /  亚阈值 /  施密特触发特性

摘要:亚阈值电路是低功耗重要发展方向之一。随着电源电压降低,晶圆代工厂提供的标准单元电路性能容易受噪声和工艺偏差的影响,已经成为制约亚阈值芯片的瓶颈。该文提出一种基于施密特触发(ST)与反向窄宽度效应(INWE)的亚阈值标准单元设计方案。该方案首先利用ST的迟滞效应与反馈机制,在电路堆叠结点处添加施密特反馈管以优化逻辑门、减少漏电流、增强鲁棒性;然后,采用INWE最小宽度尺寸与分指版图设计方法,提高电路的开关阈值与MOS管的驱动电流;最后,在TSMC 65 nm工艺下构建标准单元的物理库、逻辑库和时序库,完成测试验证。实验结果表明,所设计的亚阈值标准单元与文献相比,功耗降低7.2%~15.6%,噪声容限提升11.5%~15.3%,ISCAS测试电路的平均功耗降低15.8%。

引用本文:张跃军, 韩金亮, 张会红. 基于施密特触发的高鲁棒性亚阈值标准单元[J]. 电子与信息学报, 2021, 43(6): 1550-1558. doi: 10.11999/JEIT210001


09 DNA计算与DNA纳米技术

作者:王君珂, 印珏, 牛人杰, 任少康, 晁洁

第一单位:南京邮电大学材料科学与工程学院

关键词:DNA计算 /  DNA纳米技术 /  DNA折纸 /  DNA逻辑门 

摘要:随着后摩尔时代的到来,传统硅基计算机的发展已经濒临极限,人们迫切需要发展新的计算技术满足科技与生活的需要。由于具有超强的并行运算能力和杰出的数据存储能力,DNA计算成为新型计算机技术的一个重要分支和热门研究对象。蓬勃发展的DNA纳米技术为DNA计算提供了新的发展平台。该文首先对DNA纳米技术进行简要介绍,然后按照DNA逻辑门、DNA级联逻辑回路、智能DNA分子机器的顺序对DNA计算的发展进行论述和展望。

引用本文:王君珂, 印珏, 牛人杰, 任少康, 晁洁. DNA计算与DNA纳米技术[J]. 电子与信息学报, 2020, 42(6): 1313-1325. doi: 10.11999/JEIT190826


10 DNA存储及其研究进展

作者:许鹏, 方刚, 石晓龙, 刘文斌

第一单位:广州大学计算科技研究院

关键词:DNA存储 /  DNA数据库 /  体内存储 /  体外存储 

摘要:DNA存储是一种以生物大分子DNA作为信息载体的一种新的存储技术。与传统的电子信息存储相比,DNA存储具有容量大、密度高、低能耗等优点。随着DNA合成、测序技术的发展以及大数据时代对数据存储需求的指数增长,近年来DNA存储在存储容量、密度以及可靠性等方面都取得了巨大的进展。该文主要介绍了DNA存储的发展历史、DNA存储的基本流程、DNA存储在数据库、文档存储以及体内存储的研究进展。最后,总结了DNA存储未来面临的挑战以及发展方向。 

引用本文:许鹏, 方刚, 石晓龙, 刘文斌. DNA存储及其研究进展[J]. 电子与信息学报, 2020, 42(6): 1326-1331. doi: 10.11999/JEIT190863


11 130 GHz CMOS有源矢量合成移相器

作者:李旭光, 刘兵, 傅海鹏, 马凯学

第一单位:天津大学微电子学院

关键词:CMOS /  移相器 /  矢量合成 /  毫米波雷达 

摘要:面向毫米波相控阵雷达系统应用,该文基于55 nm CMOS工艺设计了一款工作于130 GHz的有源矢量(VM)合成移相器。该电路包含宽带正交发生器、可变增益放大和矢量合成模块。为提升移相器相位分辨率和移相精度,该电路可变增益放大采用了具有高频宽带属性的共栅放大结构和具有高增益属性的含中和电容的共源共栅放大结构多级级联的形式。为避免移相器在矢量合成时由自身结构特点产生相位断裂而导致移相范围下降,该设计电路在矢量合成模块中融入了数控人工介质(DiCAD)结构。通过全波电磁仿真对所设计毫米波移相器进行验证,在125~135 GHz频率范围内,所设计移相器平均增益大于1 dB,移相器可由控制电压控制实现全360°范围内5.625°的相位步进,RMS相位误差小于4°,电路面积为1100 μm×600 μm,功耗33 mW。

引用本文:李旭光, 刘兵, 傅海鹏, 马凯学. 130 GHz CMOS有源矢量合成移相器[J]. 电子与信息学报, 2021, 43(6): 1559-1564. doi: 10.11999/JEIT210071


12 单光子探测盖革雪崩焦平面用低抖动多相位时钟电路设计

作者:刘煦, 李云铎, 叶联华, 黄张成, 马英杰, 黄松垒, 方家熊

第一单位:中国科学院上海技术物理研究所传感技术联合国家重点实验室

关键词:全局时钟 /  延迟锁相环 /  差分电荷泵 /  H型时钟树 /  盖革雪崩焦平面 

摘要:针对单光子探测盖革雪崩焦平面读出电路应用,基于全局共享延迟锁相环和2维H型时钟树网络,该文设计一款低抖动多相位时钟电路。延迟锁相环采用8相位压控延迟链、双边沿触发型鉴相器和启动-复位模块,引入差分电荷泵结构,减小充放电流失配,降低时钟抖动。采用H时钟树结构,减小大规模电路芯片传输路径不对称引起的相位差异,确保多路分相时钟等延迟到达像素单元。采用0.18 µm CMOS工艺流片,测试结果表明,延迟锁相环锁定频率范围150~400 MHz。锁定范围内,相位噪声低于–127 dBc/Hz@1 MHz,时钟RMS抖动低于2.5 ps,静态相位误差低于65 ps。

引用本文:刘煦, 李云铎, 叶联华, 黄张成, 马英杰, 黄松垒, 方家熊. 单光子探测盖革雪崩焦平面用低抖动多相位时钟电路设计[J]. 电子与信息学报, 2021, 43(6): 1565-1573. doi: 10.11999/JEIT210060


13 基于SRAM的通用存算一体架构平台在物联网中的应用

作者:曾剑敏, 张章, 虞志益, 解光军

第一单位:合肥工业大学电子科学与应用物理学院

关键词:物联网 /  超越冯诺依曼架构 /  存算一体 /  计算型SRAM 

摘要:最近,存算一体(IMC)架构引起了广泛关注,并被认为有望成为突破冯诺依曼瓶颈的新型计算机架构,特别是在数据密集型(data-intensive)计算中能够带来显著的性能和功耗优势。其中,基于SRAM的IMC架构方案也被大量研究与应用。该文在一款基于SRAM的通用存算一体架构平台——DM-IMCA的基础上,探索IMC架构在物联网领域中的应用价值。具体来说,该文选取了物联网中包括信息安全、二值神经网络和图像处理在内的多个轻量级数据密集型应用,对算法进行分析或拆分,并将关键算法映射到DM-IMCA中的SRAM中,以达到加速应用计算的目的。实验结果显示,与基于传统冯诺依曼架构的基准系统相比,利用DM-IMCA来实现物联网中的轻量级计算密集型应用,可获得高达24倍的计算加速比。

引用本文:曾剑敏, 张章, 虞志益, 解光军. 基于SRAM的通用存算一体架构平台在物联网中的应用[J]. 电子与信息学报, 2021, 43(6): 1574-1586. doi: 10.11999/JEIT210010


14 以边为中心的密码逻辑阵列高能效映射算法

作者:徐金甫, 章宇雷, 李伟, 陈韬

第一单位:战略支援部队信息工程大学

关键词:密码算法 /  阵列 /  映射 /  以边为中心 /  能效

摘要:为解决密码算法在粗粒度可重构密码逻辑阵列(CRCLA)上映射性能不高及编译时间长的问题,该文提出一种密码算法和硬件资源的描述形式,在映射过程中能够更加直观地显示各个资源的占用情况;并通过分析密码算法运算特征与粗粒度可重构密码逻辑阵列硬件结构的内在关联,以减少关键路径延时为目标,提出了一种以边为中心的密码逻辑阵列高能效映射算法(ECLMap)。通过边映射来指导节点映射,结合相关映射策略,引入回溯机制来提高映射成功率。在仿真平台下对多种密码算法进行实验,相比于其他通用的映射算法,结果表明该文提出的算法映射性能最佳,在算法能效上平均提升了约20%,同时在编译时间上平均提升了约25%。实现了算法的高能效映射。

引用本文:徐金甫, 章宇雷, 李伟, 陈韬. 以边为中心的密码逻辑阵列高能效映射算法[J]. 电子与信息学报, 2021, 43(6): 1587-1595. doi: 10.11999/JEIT210008


15 基于气敏传感器的高稳态物理不可克隆函数发生器

作者:汪鹏君, 李乐薇, 郑雁公, 李刚

第一单位:温州大学电气与电子工程学院

关键词:物理不可克隆函数 /  气敏传感器 /  物理不可克隆函数发生器 /  物联网安全

摘要:物联网(IoT)作为战略性新兴产业已经上升为国家发展重点,但在实际应用中也面临各种安全威胁。确保资源受限物联网系统数据传输、处理和存储的安全已成为研究热点。该文通过对物理不可克隆函数(PUF)和传感器制备工艺偏差的研究,提出一种基于气敏传感器的高稳态物理不可克隆函数发生器设计方案。该方案首先采用静电喷雾沉积(ESD)方式生成具有高比表面积特性的纳米材料,结合高温煅烧技术制备Pd-SnO2气敏传感器;其次采集Pd-SnO2气敏传感器在不同气体浓度、环境温度、加热电压条件下对甲醛气体的响应数据;然后利用随机阻值多位平衡算法比较不同簇气敏传感器响应的阻值,进而产生多位高稳态PUF数据;最后对所设计PUF发生器的安全性和可靠性进行评估。实验结果表明,该PUF发生器的随机性为97.03%、可靠性为97.85%、唯一性为49.04%,可广泛应用于物联网安全领域。

引用本文:汪鹏君, 李乐薇, 郑雁公, 李刚. 基于气敏传感器的高稳态物理不可克隆函数发生器[J]. 电子与信息学报, 2021, 43(6): 1596-1602. doi: 10.11999/JEIT201104


16 基于异构忆阻器的1T2M多值存储交叉阵列设计

作者:陈金铭, 王彤, 吴建新, 刘晓瑜

第一单位:湖南大学信息科学与工程学院

关键词:忆阻器 /  存储器 /  交叉阵列 /  漏电流 

摘要:忆阻器作为一种新型电子元件,具有尺寸小、读写速度快、非易失性和易于与CMOS电路兼容等特性,是实现非易失性存储器最具发展前景的技术之一。但是已有的多值存储交叉阵列存在电路结构复杂、漏电流和存储密度低等问题,影响了多值存储交叉阵列的实用性。该文提出一种基于异构忆阻器的多值存储交叉阵列,其中存储单元由1个MOS管和两个具有不同阈值电压和Ron阻值的异构忆阻器构成(1T2M),可实现单个电压信号完成4值读写的操作,减少电流通路的同时简化了电路结构。通过PSpice进行仿真验证,表明所提出的1T2M多值存储器交叉阵列与已有工作相比,电路结构更简单,读写速度更快,并较好地克服了漏电流问题。

引用本文:孙晶茹, 李梦圆, 康可欣, 邾少鹏, SunYichuang. 基于异构忆阻器的1T2M多值存储交叉阵列设计[J]. 电子与信息学报, 2021, 43(6): 1533-1540. doi: 10.11999/JEIT201108


17 忆阻高通滤波电路准周期与混沌环面簇发振荡及慢通道效应

作者:李芳苑, 陈墨, 武花干

第一单位:常州大学

关键词:高通滤波器 /  忆阻模拟器 /  簇发振荡 /  慢通道效应

摘要:该文提出了一种忆阻高通滤波电路,它是由有源高通RC滤波器与二极管桥级联LC振荡器的忆阻模拟器并联耦合组成的。该文建立了电路方程与系统模型。基于分岔图、相平面图、庞加莱映射等数值仿真,开展了以反馈增益为可调参数的分岔分析,揭示了忆阻高通滤波电路中存在的准周期、混沌环面、混沌和多周期等簇发振荡行为。进一步地,通过快慢分析法,导出了快子系统的Hopf分岔集,并进而阐述了忆阻高通滤波电路慢通道效应的形成机理。最后,基于Multisim电路仿真验证了数值仿真结果。

引用本文:李芳苑, 陈墨, 武花干. 忆阻高通滤波电路准周期与混沌环面簇发振荡及慢通道效应[J]. 电子与信息学报, 2020, 42(4): 811-817. doi: 10.11999/JEIT190373


18 基于双曲正切忆阻器的Duffing系统中簇发、共存分析及其DSP实现

作者:王梦蛟, 邓勇, 李志军, 曾以成

第一单位:湘潭大学自动化与电子信息学院

关键词:忆阻器 /  Duffing /  簇发 /  延时分岔 /  共存 

摘要:忆阻器作为第4种基本电路元件由蔡少棠首次提出,它的提出为混沌电路的设计和工程应用提供了新思路。该文通过在Homles型Duffing系统中引入一个双曲正切忆阻模型,得到了一个新忆阻Duffing非自治系统。利用转换相图、相图、Lyapunov指数等,揭示了该系统具有振荡尖峰数目可控簇发、非完全对称双边簇发、非完全对称的簇发共存、多种周期混沌共存等新颖动力学行为。并通过分岔图及平衡点分析,研究了其簇发产生机理。采用Multisim电路仿真与数字信号处理平台(DSP)对系统进行了硬件实现,与理论分析基本一致的实验结果证明该系统是可行的且是物理可实现的。

引用本文:王梦蛟, 邓勇, 李志军, 曾以成. 基于双曲正切忆阻器的Duffing系统中簇发、共存分析及其DSP实现[J]. 电子与信息学报, 2020, 42(4): 818-826. doi: 10.11999/JEIT190631


19 基于忆阻器的乘法器电路设计

作者:王光义, 沈书航, 刘公致, 李付鹏

第一单位:杭州电子科技大学现代电路与智能信息研究所

关键词:忆阻器 /  逻辑电路 /  乘法器 

摘要:忆阻器作为一种非易失性的新型电路元件,在数字逻辑电路中具有良好的应用前景。目前,基于忆阻器的逻辑电路主要涉及全加器、乘法器以及异或(XOR)和同或(XNOR)门等研究,其中对于忆阻乘法器的研究仍比较少。该文采用两种不同方式来设计基于忆阻器的2位二进制乘法器电路。一种是利用改进的“异或”及“与”多功能逻辑模块,设计了一个2位二进制乘法器电路,另一种是结合新型的比例逻辑,即由一个忆阻器和一个NMOS管构成的单元门电路设计了一个2位二进制乘法器。对于所设计的两种乘法器进行了比较,并通过LTSPICS仿真进行验证。该文所设计的乘法器仅使用了2个N型金属-氧化物-半导体(NMOS)以及18个忆阻器(另一种为6个NMOS和28个忆阻器),相比于过去的忆阻乘法器,减少了大量晶体管的使用。

引用本文:王光义, 沈书航, 刘公致, 李付鹏. 基于忆阻器的乘法器电路设计[J]. 电子与信息学报, 2020, 42(4): 827-834. doi: 10.11999/JEIT190811


20 基于多通道忆阻脉冲耦合神经网络的多帧图像超分辨率重建算法

作者:董哲康, 杜晨杰, 林辉品, 赖俊昇, 胡小方, 段书凯

第一单位:杭州电子科技大学电子信息学院

关键词:忆阻器 /  脉冲耦合神经网络 /  多帧图像 /  超分辨率重建 

摘要:高清晰度的图像是信息获取和精确分析的前提,研究多帧图像的超分辨率重建能够有效解决因外部拍摄环境引起的图像细节丢失、边缘模糊等问题。该文基于纳米级忆阻器,设计一种多通道忆阻脉冲耦合神经网络模型(MMPCNN),能够有效模拟网络中连接系数的动态变化,解决神经网络中固有的参数估计问题。同时,将提出的网络应用于多帧图像超分辨率重建中,实现低分辨率配准图像的融合操作,并通过基于稀疏编码的单帧图像超分辨率重构算法对获得的初始高分辨率图像进行优化。最终,一系列计算机仿真及分析(主观/客观分析)验证了该文提出方案的正确性和有效性。

引用本文:董哲康, 杜晨杰, 林辉品, 赖俊昇, 胡小方, 段书凯. 基于多通道忆阻脉冲耦合神经网络的多帧图像超分辨率重建算法[J]. 电子与信息学报, 2020, 42(4): 835-843. doi: 10.11999/JEIT190868


21 荷控忆阻器记忆衰退的寄生效应

作者:沈怡然, 李付鹏, 王光义

第一单位:杭州电子科技大学现代电路与智能信息研究所

关键词:忆阻器 /  记忆衰退 /  寄生效应

摘要:荷控忆阻器在寄生元件存在的情况下,可能发生记忆衰退现象。该文采用忆阻器动力学路线图和仿真的方法,研究了忆阻器寄生电阻和寄生电容对其动力学特性的影响。理论和仿真分析发现,理想荷控(流控)忆阻器在直流和交流激励下,寄生电阻或寄生电容单独存在时不发生记忆衰退现象,但在寄生电阻和寄生电容同时存在的情况下会发生记忆衰退,其机理是寄生元件形成放电通路,从而导致荷控忆阻器产生了记忆衰退。 

引用本文:沈怡然, 李付鹏, 王光义. 荷控忆阻器记忆衰退的寄生效应[J]. 电子与信息学报, 2020, 42(4): 844-850. doi: 10.11999/JEIT190865


22 忆阻数字逻辑电路设计

作者:王晓媛, 金晨曦, 周鹏飞

第一单位:杭州电子科技大学电子信息学院

关键词:忆阻器 /  数字逻辑电路 /  二值特性 

摘要:该文简要概述了忆阻器理论的提出、应用现状及其在电子技术领域发展的现状,介绍了忆阻器在数字逻辑电路设计中的重要意义,并结合惠普(HP)忆阻器的二值特性及其电路特性,对忆阻器在数字逻辑电路设计中的发展、趋势及可应用前景进行了综述,可为忆阻器在数字逻辑电路中的后续研究及相关应用提供一定的参考。

引用本文:王晓媛, 金晨曦, 周鹏飞. 忆阻数字逻辑电路设计[J]. 电子与信息学报, 2020, 42(4): 851-861. doi: 10.11999/JEIT190864


23 简洁无电感忆阻混沌电路及其特性

作者:曾以成, 成德武, 谭其威

第一单位:湘潭大学物理与光电工程学院

关键词: 忆阻器 /  混沌电路 /  多稳态 /  暂态混沌 

摘要:采用非理想有源电压控制忆阻器和磁通控制型光滑3次非线性忆阻器,该文设计了一种不含电感的简单(只含5个电子元器件)双忆阻混沌电路。采用常规的非线性分析手段详细研究了电路参数变化时系统的基本动力学行为,例如平衡点稳定性分析,相轨图以及李雅普诺夫指数谱和分岔图等。通过调节系统控制参数,该系统可产生多涡卷、多翼以及暂态混沌等十分丰富的动力学现象。此外,还研究了系统依赖于忆阻器初始状态的多稳态,得到了一些有意义的结果。为验证电路的可行性及稳定性,通过对忆阻器的模拟等效电路的搭建,并将该等效电路应用于所提出的混沌电路中,硬件电路实验结果以及Multisim电路仿真结果与理论分析一致。

引用本文:曾以成, 成德武, 谭其威. 简洁无电感忆阻混沌电路及其特性[J]. 电子与信息学报, 2020, 42(4): 862-869. doi: 10.11999/JEIT190859


24 忆阻突触耦合Hopfield神经网络的初值敏感动力学

作者:陈墨, 陈成杰, 包伯成, 徐权

第一单位:常州大学信息科学与工程学院

关键词:非理想忆阻突触 /  Hopfield神经网络 /  状态初值 /  数值仿真 

摘要:该文报道了3神经元Hopfield神经网络(HNN)在电磁感应电流作用下的初值敏感动力学。利用非理想忆阻突触,模拟由两个相邻神经元膜电位之差引起的电磁感应电流,构建了一种简单的4维忆阻Hopfield神经网络模型。借助理论分析和数值仿真,分析了不同忆阻突触耦合强度下的复杂动力学行为,揭示了与状态初值密切相关的特殊动力学行为。最后,设计了该忆阻HNN的模拟等效实现电路,并由PSIM电路仿真验证了MATLAB数值仿真的正确性。

引用本文:陈墨, 陈成杰, 包伯成, 徐权. 忆阻突触耦合Hopfield神经网络的初值敏感动力学[J]. 电子与信息学报, 2020, 42(4): 870-877. doi: 10.11999/JEIT190858


25 多频激励忆阻型Shimizu-Morioka系统的簇发振荡及机理分析

作者:李志军, 方思远, 周成义

第一单位:湘潭大学信息工程学院

关键词:忆阻型S-M系统 /  多频慢激励 /  扭曲型“sub-Hopf/sub-Hopf”簇发 /  级联嵌套型sub-Hopf/sub-Hopf”簇发 

摘要:为了研究忆阻系统的簇发振荡及其形成机理,该文在Shimizu-Morioka(S-M)系统的基础上引入忆阻器件和两个慢变化的周期激励项,建立了一种多时间尺度的忆阻型S-M系统。首先研究了单一激励下S-M系统的簇发行为及分岔机制,得到一种对称型“sub-Hopf/sub-Hopf”簇发模式。然后借助De Moivre公式将多频激励系统转化为单频激励系统,结合快慢分析法重点分析了附加激励幅度对“sub-Hopf/sub-Hopf”簇发模式的影响。对应于不同附加激励幅度该文发现了两种新的簇发模式,即扭曲型“sub-Hopf/sub-Hopf”簇发和嵌套级联型sub-Hopf/sub-Hopf”簇发。借助时序图、分岔图和转换相图分析了相应的簇发机制。最后,采用Multisim软件搭建电路模型并进行仿真实验,得到的实验结果与理论分析结果相吻合,从而实验证明了忆阻型S-M系统的簇发模式。 

引用本文:李志军, 方思远, 周成义. 多频激励忆阻型Shimizu-Morioka系统的簇发振荡及机理分析[J]. 电子与信息学报, 2020, 42(4): 878-887. doi: 10.11999/JEIT190855


26 分数阶忆阻退化Jerk系统的特性分析与DSP实现

作者:孙克辉, 秦川, 王会海

第一单位:中南大学物理与电子学院

关键词: 忆阻器 /  混沌 /  分数阶微积分 /  吸引子共存 /  多稳态  

摘要:为了探究分数阶形式下该类系统的动力学特性,该文将分数阶微积分引入到忆阻退化Jerk系统中,增加了一个自由度,提升了系统性能。通过相图、分岔图、李雅普诺夫指数谱、复杂度混沌图等分析了系统的动力学特性,并采用DSP技术,实现了该系统的数字电路。研究结果表明,系统拓展到分数阶后有两种不同的单涡卷吸引子,系统随初值变化呈现倍周期分岔路径,在某些特定初值处系统演化路径出现跃变。系统具有无限多个吸引子共存。

引用本文:孙克辉, 秦川, 王会海. 分数阶忆阻退化Jerk系统的特性分析与DSP实现[J]. 电子与信息学报, 2020, 42(4): 888-894. doi: 10.11999/JEIT190904


27 时间反转多用户系统中保密和速率优化的预处理滤波器设计

作者:雷维嘉, 杨苗苗

第一单位:重庆邮电大学通信与信息工程学院

关键词:物理层安全 /  时间反转 /  保密和速率 /  预处理滤波器 

摘要:利用无线信道的特征通过物理层技术实现信息的安全传输是保密通信的一种方式。时间反转传输特有的时空聚焦特性使其具有天然的抗干扰和抗窃听能力,在单发射天线条件下也能获得较好的保密传输性能。该文研究两用户时间反转下行多址安全传输系统中,发送滤波器脉冲响应的优化问题。根据互惠原则将两个发送滤波器的联合优化问题转换为各滤波器的独立优化问题,进一步将其转换为寻找最大特征值及其对应的特征向量的问题,并通过迭代算法进行求解。仿真结果表明,针对保密和速率优化预处理滤波器后,系统的可达保密速率明显优于采用常规时间反转预处理滤波器时的系统和直接传输系统。

引用本文:雷维嘉, 杨苗苗. 时间反转多用户系统中保密和速率优化的预处理滤波器设计[J]. 电子与信息学报, 2020, 42(5): 1253-1260. doi: 10.11999/JEIT190339


28 基于公式递推法的可变计算位宽的循环冗余校验设计与实现

作者:陈容, 陈岚, WAHLAArfan Haider

第一单位:中国科学院微电子研究所

关键词:循环冗余校验 /  并行算法 /  公式递推法 

摘要:循环冗余校验(CRC)与信道编码的级联使用,可以有效改善译码的收敛特性。在新一代无线通信系统,如5G中,码长和码率都具有多样性。为了提高编译码分段长度可变的级联系统的译码效率,该文提出一种可变计算位宽的CRC并行算法。该算法在现有固定位宽并行算法的基础上,合并公式递推法中反馈数据与输入数据的并行计算,实现了一种高并行度的CRC校验架构,并且支持可变位宽的CRC计算。与现有的并行算法相比,合并算法节省了电路资源的开销,在位宽固定时,资源节约效果明显,同时在反馈时延上也有将近50%的优化;在位宽可变时,电路资源的使用情况也有相应的优化。

引用本文:陈容, 陈岚, WAHLAArfan Haider. 基于公式递推法的可变计算位宽的循环冗余校验设计与实现[J]. 电子与信息学报, 2020, 42(5): 1261-1267. doi: 10.11999/JEIT190503


29 基于循环平稳特性的欠采样宽带数字预失真研究

作者:谢意远, 高悦欣, 邢孟道, 郭亮, 孙光才

第一单位:西安电子科技大学雷达信号处理国家重点实验室

关键词:行波管放大器 /  循环平稳 /  欠采样 /  数字预失真 /  线性化

摘要:为了解决行波管(TWT)宽带数字预失真(DPD)中反馈回路ADC采样率过高的问题,该文利用信号的循环平稳特性证实可通过欠采样下的输出信号估计功放的非线性模型参数,然后由功放非线性模型参数和输入信号可恢复出与高采样率下效果相似的功放输出信号,最后通过传统的间接学习结构对功放进行数字预失真以实现行波管的线性化。为了验证该方法,利用20 MHz LTE信号驱动一只55 W的X波段行波管放大器(TWTA)。数字预失真反馈回路的ADC采样率从61.44 Msps降低至6.144 Msps和3.072 Msps,但线性化效果变化不大,表明欠采样方法是有效的。 

引用本文:兰榕, 胡欣, 邹峰, 王刚, 罗积润. 基于循环平稳特性的欠采样宽带数字预失真研究[J]. 电子与信息学报, 2020, 42(5): 1274-1280. doi: 10.11999/JEIT190105


30 DNA数据存储

作者:毛秀海, 李凡, 左小磊

第一单位:上海交通大学医学院分子医学研究院

关键词:分子数据存储 /  DNA数据存储 /  编码 /  解码 /  读取

摘要:分子数据存储作为一种稳定性强、存储密度高的数据存储方式,表现出巨大的潜力。它有望解决当今日益增长的巨大信息量与存储能力之间差距不断扩大的问题。作为一种典型的分子数据存储方式,DNA数据存储可以作为一种替代性、变革性的存储介质,用于突破现用存储方式的物理极限,满足不断增加的数据存储需求。该综述将对DNA数据存储的历史、工作流程、及当前的发展状态进行概述,同时讨论现今DNA数据存储存在的问题、挑战及发展趋势。

引用本文:毛秀海, 李凡, 左小磊. DNA数据存储[J]. 电子与信息学报, 2020, 42(6): 1303-1312. doi: 10.11999/JEIT190852


31 基于DNA和限制性核酸内切酶的基本逻辑门设计

作者:柳娟, 谢文彬, 汪改英, 汤敏丽

第一单位:厦门大学航空航天学院

关键词:分子逻辑计算 /  DNA链置换 /  限制性核酸内切酶 /  基本逻辑门 

摘要:由于DNA分子具有特异性、高并行性、微小性等天然特性,在信息处理过程中展现出了强大的并行计算能力和数据存储能力。该文研究将具有特异性识别功能的限制性核酸内切酶引入DNA链置换反应中,作为DNA电路的输入,通过控制立足点的生成和移除设计了是门、非门和与门3种基本逻辑门。采用Visual DSD对逻辑模型进行模拟仿真,并通过凝胶电泳实验验证设计。与以往的分子逻辑门比较,该设计反应迅速,操作简便,具有良好的扩展性,为大规模电路的设计提供了可能性。

引用本文:柳娟, 谢文彬, 汪改英, 汤敏丽. 基于DNA和限制性核酸内切酶的基本逻辑门设计[J]. 电子与信息学报, 2020, 42(6): 1332-1339. doi: 10.11999/JEIT190846


32 基于DNA折纸基底的与非门计算模型

作者:殷志祥, 唐震, 张强, 崔建中, 杨静, 王日晟, 赵寿为, 张居丽

第一单位:上海工程技术大学数理与统计学院

关键词:DNA计算 /  DNA折纸术 /  与非门

摘要:与非门(NAND)的本质是与门(AND)和非门(NOT)的叠加,先进行与运算,再进行非运算,它是建立DNA计算机的基础。为了实现与非门的计算,该文在DNA折纸基底上建立了一个与非门计算模型,逻辑值的输入是通过在DNA折纸基底上发生有向的杂交链式反应(HCR)来完成的,输入链先经过与门区域再经过非门区域,最后通过DNA折纸基底上是否还保留纳米金颗粒来显示计算结果的真假。利用Visual DSD对该计算模型进行仿真模拟,显示该计算模型具有较好的可行性。 

引用本文:殷志祥, 唐震, 张强, 崔建中, 杨静, 王日晟, 赵寿为, 张居丽. 基于DNA折纸基底的与非门计算模型[J]. 电子与信息学报, 2020, 42(6): 1355-1364. doi: 10.11999/JEIT190825


33 基于DNA链置换的三级联组合分子逻辑电路设计

作者:孙军伟, 李智, 王延峰

第一单位:郑州轻工业大学电气信息工程学院

关键词:DNA计算 /  DNA链置换 /  DNA组合逻辑门 

摘要:DNA计算研究内容繁多复杂,DNA复杂逻辑电路的搭建属于DNA计算的一个重要研究分支,其中逻辑门的构建属于DNA复杂逻辑电路搭建的基础研究,设计出更为简单的逻辑门可以为研究者搭建复杂电路提供参考,节省基础研究的宝贵时间。针对上述问题,该文利用使能控制端思想,采用DNA链置换技术,设计了与或、与非或非和异或同或3种DNA组合逻辑门。结果显示,设计的3种组合逻辑门可实现6种逻辑运算功能,并利用所构建的组合逻辑门成功构造了多级联组合分子逻辑电路,为DNA计算提供了更多的解决方案,促进了DNA计算机的发展。

引用本文:孙军伟, 李智, 王延峰. 基于DNA链置换的三级联组合分子逻辑电路设计[J]. 电子与信息学报, 2020, 42(6): 1401-1409. doi: 10.11999/JEIT190847


34 应用于激光雷达信号处理系统的放大电路接口设计

作者:刘汝卿, 蒋衍, 姜成昊, 李锋, 朱精果

第一单位:中国科学院微电子研究所

关键词:激光雷达 /  放大电路系统 /  接口建模 /  协同仿真 

摘要:应用于激光雷达(LiDAR)测量系统的单芯片全集成信号处理电路系统的设计与实现,对于有效提高激光雷达整机测量精度、数据率,缩短测量时间,减小测量设备体积和功耗具有重要的意义。考虑到目前对于信号处理电路系统的研究中较少考虑芯片在实际使用环境中的接口问题,基于光电探测器、裸芯片、封装、传输线及测试板等诸多接口影响因素,运用协同仿真分析的方法,在电路系统的实际工作频段内,建立了一种精确的、能反映激光雷达信号处理电路系统放大电路芯片真实应用环境的接口一体化仿真模型,并通过S参数仿真对其进行验证。同时基于CMOS工艺,将设计得到的放大电路系统进行流片,在芯片输入端承载不同光电探测器寄生负载的情况下,对芯片性能进行测试,仿真结果与测试结果吻合较好,验证了该接口模型建立的可行性。

引用本文:刘汝卿, 蒋衍, 姜成昊, 李锋, 朱精果. 应用于激光雷达信号处理系统的放大电路接口设计[J]. 电子与信息学报, 2020, 42(7): 1636-1642. doi: 10.11999/JEIT190427


35 SVM算法在硬件木马旁路分析检测中的应用

作者:佟鑫, 李莹, 陈岚

第一单位:中国科学院微电子研究所EDA中心

关键词:硬件木马 /  旁路检测 /  支持向量机 /  有监督学习 /  半监督学习

摘要:集成电路(ICs)面临着硬件木马(HTs)造成的严峻威胁。传统的旁路检测手段中黄金模型不易获得,且隐秘的木马可以利用固硬件联合操作将恶意行为隐藏在常规的芯片运行中,更难以检测。针对这种情况,该文提出利用机器学习支持向量机(SVM)算法从系统操作层次对旁路分析检测方法进行改进。使用现场可编程门阵列(FPGA)验证的实验结果表明,存在黄金模型时,有监督SVM可得到86.8%的训练及测试综合的平均检测准确率,进一步采用分组和归一化去离群点方法可将检测率提升4%。若黄金模型无法获得,则可使用半监督SVM方法进行检测,平均检测率为52.9%~79.5%。与现有同类方法相比,验证了SVM算法在指令级木马检测中的有效性,明确了分类学习条件与检测性能的关系。 

引用本文:佟鑫, 李莹, 陈岚. SVM算法在硬件木马旁路分析检测中的应用[J]. 电子与信息学报, 2020, 42(7): 1643-1651. doi: 10.11999/JEIT190532


36 基于卷积神经网络的印刷电路板色环电阻检测与定位方法

作者:刘小燕, 李照明, 段嘉旭, 项天远

第一单位:湖南大学电气与信息工程学院

关键词:图像分割 /  色环电阻 /  卷积神经网络 /  印刷电路板 

摘要:色环电阻是印刷电路板(PCB)中最常用的电子元器件之一,主要依靠色环的排列顺序和颜色等视觉信息进行区分,易发生装配错误。但是色环电阻装配质量的人工检测方法效率低、误检率高,而传统的基于图像处理技术的自动检测方法鲁棒性较差,难以解决不同拍摄角度、物距及光照条件下的PCB板色环电阻检测问题。针对这一问题,该文提出一种基于卷积神经网络(CNN)的PCB板色环电阻自动检测与定位方法,首先采用编码器-解码器结构的卷积神经网络模型及带有权重的交叉熵损失函数的网络训练方法,较好地解决了复杂光照及场景下PCB板色环电阻的图像分割问题;然后采用最小面积外接矩形方法定位单个色环电阻,并通过仿射变换对色环电阻位置进行垂直校正;最后通过高斯模板匹配方法实现了色环电阻的色环定位。采用1270幅PCB图像对该文方法进行了实验和验证,并与传统的基于形态学和基于模板匹配的色环电阻检测方法进行了对比,结果表明,该文方法在召回率、准确率及重叠度等性能指标上具有明显优势,处理速度快,能满足实际应用要求。

引用本文:刘小燕, 李照明, 段嘉旭, 项天远. 基于卷积神经网络的印刷电路板色环电阻检测与定位方法[J]. 电子与信息学报, 2020, 42(9): 2302-2311. doi: 10.11999/JEIT190608


37 FPGA双端口存储器映射优化算法

作者:徐宇, 林郁, 杨海钢

第一单位:中国科学院电子学研究所

关键词:FPGA /  双端口存储器映射 /  延时优化 /  面积优化 /  功耗优化 

摘要:FPGA存储器映射算法负责将用户的逻辑存储需求映射到芯片中的分布式存储资源上实现。前人对双端口存储器的映射算法研究相对较少,成熟的商业EDA工具的映射结果仍有不少改进空间。该文分别针对面积、延时、功耗这3个常用指标,提出一种双端口存储器映射的优化算法,并给出了具体配置方案。实验表明,在面向简单存储需求时,与商用工具Vivado的映射结果一致;在面向复杂存储需求时,面积优化和功耗优化的映射结果对比商用工具改善了至少50%。 

引用本文:徐宇, 林郁, 杨海钢. FPGA双端口存储器映射优化算法[J]. 电子与信息学报, 2020, 42(10): 2549-2556. doi: 10.11999/JEIT190077


38 应用于数字DC-DC转换器的高分辨率数字脉宽调制器设计

作者:张章, 崔明辉, 李斌, 程心, 解光军

第一单位:合肥工业大学电子科学与应用物理学院

关键词: 数字脉冲宽度调制 /  高分辨率 /  数字控制 /  现场可编程门阵列

摘要:数字控制在电力电子领域的优势使得数字脉冲宽度调制的使用日益增加,然而其分辨率不足一直是制约开关电源领域中数字控制技术发展的主要因素之一。针对高分辨率数字脉冲宽度调制的应用需求,该文提出一种基于高速进位链结构的高分辨率数字脉冲宽度调制电路。该电路采用计数器、比较器、固定相移锁相环单元及高速进位链的混合结构,有效地提高了分辨率,并在Altera的Cyclone IV低成本现场可编程门阵列器件上实现。实验结果显示,当输入参考时钟工作频率为70 MHz时,该结构的分辨率可达到56 ps。此外,该电路还具有较宽的开关频率调节范围及较好的线性度等优点。

引用本文:张章, 崔明辉, 李斌, 程心, 解光军. 应用于数字DC-DC转换器的高分辨率数字脉宽调制器设计[J]. 电子与信息学报, 2020, 42(11): 2819-2826. doi: 10.11999/JEIT190482


39 多服务低速率数字光载射频系统中的新型自动增益控制算法设计

作者:李文, 陈爱新, 王学锋, 陈远航, 刘晓滨, 姚宜东

第一单位:北京航空航天大学

关键词:数字光载射频通信系统 /  自动增益控制 /  数据压缩 /  快速响应

摘要:带通采样和数字信号处理技术使得数字光载射频(DRoF)通信系统在射频信号传输中具有显著优势,而且系统通过进一步采用数据压缩技术可实现多服务信号的低比特率传输。然而,系统进行数据压缩的同时会极大降低输入动态范围。基于对数据压缩参数的理论分析,该文提出一种新型快速两级自动增益控制(FST-AGC)算法。该算法采用周期内多阈值判定机制来调整链路增益,具有高稳定、准确和快速响应等特性。通过在数字域和模拟(RF)域进行两级自动增益控制,系统的输入动态范围大大提高。该算法被成功应用到能够同时支持3家移动运营商(MONs)所有服务的多服务低速率DRoF系统中。理论计算、软件仿真和系统测试结果都验证了该算法具有显著优势和良好性能。该算法可应用在其他各种新型网络通信系统中,如物联网(IoT)、射频识别(RFID)和未来的5G通信系统。 

引用本文:李文, 陈爱新, 王学锋, 陈远航, 刘晓滨, 姚宜东. 多服务低速率数字光载射频系统中的新型自动增益控制算法设计[J]. 电子与信息学报, 2021, 43(4): 1090-1097. doi: 10.11999/JEIT190785


40 基于改进简化实频技术的超宽带功率放大器设计

作者:刘国华, 周国祥, 郭灿天赐, 程知群

第一单位:杭州电子科技大学射频电路与系统教育部重点实验室

关键词:功率放大器 /  简化实频法 /  多倍频 /  漏极效率

摘要:该文提出了一种基于改进简化实频算法的跨多倍频超宽带功率放大器。结合负载牵引技术,分析晶体管负载端的最优阻抗值变化。通过改进简化实频法中的优化目标和误差函数,对频段内选取多个频点的最优阻抗进行分析,设计并优化出了功率放大器的输出匹配电路,提高了功放的工作带宽。测试结果显示,在 0.5~2.7 GHz频段内,饱和输出功率达到 42.5 dBm,饱和漏极效率为 64%~75%。

引用本文:刘国华, 周国祥, 郭灿天赐, 程知群. 基于改进简化实频技术的超宽带功率放大器设计[J]. 电子与信息学报, 2021, 43(6): 1617-1621. doi: 10.11999/JEIT200564


41 基于粒子群算法的LCLC谐振变换器优化设计

作者:刘方正, 韩振中, 曾瑞琪

第一单位:中国科学院空天信息创新研究院高功率微波源与技术重点实验室

关键词:空间行波管放大器 /  粒子群优化算法 /  LCLC谐振变换器 /  总损耗

摘要:LCLC谐振变换器广泛应用在空间行波管放大器(TWTA)中,起到升压的作用。在LCLC谐振变换器中,具有多个谐振参数,即变压器漏感、串联谐振电容、励磁电感以及并联谐振电容。多个谐振参数增加了LCLC谐振变换器总损耗优化的难度。该文提出一种基于粒子群优化算法的LCLC谐振变换器优化设计方法,解决LCLC谐振变换器由于多个谐振参数造成的总损耗优化困难的问题。首先,推导了LCLC谐振变换器的总损耗公式;其次,采用粒子群优化算法,对LCLC谐振变换器的总损耗进行了优化,得到了总损耗最小时的谐振变换器参数;最后,基于优化的LCLC谐振变换器参数,搭建了LCLC谐振变换器,并进行了一系列实验。实验结果证明了该优化设计方法的有效性。

引用本文:赵斌, 王刚, 宋婧妍, 刘雅琳. 基于粒子群算法的LCLC谐振变换器优化设计[J]. 电子与信息学报, 2021, 43(6): 1622-1629. doi: 10.11999/JEIT190337


42 电动汽车锂离子电池荷电状态估算方法研究综述

作者:张照娓, 郭天滋, 高明裕, 何志伟, 董哲康

第一单位:杭州电子科技大学电子信息学院

关键词:电动汽车 /  锂离子电池 /  电池管理系统 /  荷电状态 /  估算方法

摘要:锂离子电池具有循环寿命长、能量密度高、自放电率低、环境污染小等优点,在电动汽车产业中得到广泛应用。电动汽车中的电池管理系统(BMS)可以维护和监测电池状态,确保电池的安全性和可靠性。电池荷电状态(SoC)表示电池中剩余的电量,是BMS的重要参数之一,实时精确的SoC估算可以延长电池寿命,保障行驶安全。然而锂离子电池是一个高度复杂的非线性时变系统,电池寿命、环境温度、电池自放电等许多未知因素均会对估算精度造成影响,使估算难度大大增加。为了满足不同条件下对锂离子电池SoC精确、快速、实时估算的要求,需要对SoC估计算法进行进一步研究与改进。近年来已有相关文献对锂离子电池SoC的估算方法进行了综述,然而已有相关综述对估算方法的总结不够全面且缺少流程表达。该文首先介绍了锂离子电池的工作原理,阐述了影响电池SoC估算的因素;其次,通过总结最新的研究成果对电池SoC估算方法进行了归纳分析,根据各类算法的不同特性将其分为查表法、安时积分法、基于模型的方法、数据驱动的方法以及混合方法五大类,说明了各类估算方法的主要特征并对模型或算法的优缺点进行综合的比较和讨论;最后,对电动汽车中锂离子电池SoC估算方法的未来发展方向做出展望。

引用本文:张照娓, 郭天滋, 高明裕, 何志伟, 董哲康. 电动汽车锂离子电池荷电状态估算方法研究综述[J]. 电子与信息学报, 2021, 43(7): 1803-1815. doi: 10.11999/JEIT200487


43 一种基于峰度系数的脉冲噪声检测算法的研究及其FPGA实现

作者:周晓波, 王洪, 周郭飞

第一单位:北京交通大学电子信息工程学院

关键词:激光测振 /  散斑效应 /  脉冲噪声 /  峰度检测 

摘要:针对激光测振系统中散斑效应导致的语音脉冲噪声,该文研究了一种基于4阶累积量的峰度检测算法,推导了峰度系数和归一化峰度系数的数学迭代公式,并在FPGA的实现中提出了一种峰度系数的动态阈值的判决方法。基于实验数据的仿真结果表明,该算法较理论公式减少了约25%的计算量,节省了硬件资源,对较小幅度的脉冲噪声具有更敏感的检测性能。

引用本文:周晓波, 王洪, 周郭飞. 一种基于峰度系数的脉冲噪声检测算法的研究及其FPGA实现[J]. 电子与信息学报, 2021, 43(7): 1816-1820. doi: 10.11999/JEIT200460


44 高性能Ed25519算法硬件架构设计与实现

作者:于斌, 黄海, 刘志伟, 赵石磊, 那宁

第一单位:哈尔滨理工大学计算机科学与技术学院

关键词:椭圆曲线数字签名算法 /  爱德华兹曲线 /  硬件实现 /  标量乘 /  快速模约简

摘要:针对签名验签速度难以满足特定应用领域需求的问题,该文设计了一种高性能Ed25519算法的硬件实现架构。采用宽度为2 bit的窗口法实现标量乘运算,减少了标量乘所需的总周期数;通过优化点加倍点操作步骤,提高了乘法器的硬件使用率;使用低计算复杂度的快速模约简实现模乘,提高了整体运算速度。为了使模L运算可复用标量乘中的快速模约简,该文提出一种基于Barrett约简的模L算法。通过优化解压过程中模幂操作过程,精简了步骤并使其可复用模乘。对所提架构做硬件实现,在TSMC的55 nm CMOS工艺下,面积为746×103等效门,最高频率360 MHz,每秒能够执行公钥生成9.06×104次、签名8.82×104次和验签3.99×104次。

引用本文:于斌, 黄海, 刘志伟, 赵石磊, 那宁. 高性能Ed25519算法硬件架构设计与实现[J]. 电子与信息学报, 2021, 43(7): 1821-1827. doi: 10.11999/JEIT200876


45 一种通用的时间数字转换器码密度校准信号产生方法及其实现

作者:李海涛, 李斌康, 田耕, 阮林波, 赵前, 吕宗璟

第一单位:强脉冲辐射环境模拟与效应国家重点实验室

关键词:时间数字转换器 /  码密度校准 /  相干采样 /  TDC主时钟 /  校准信号

摘要:该文提出一种通用的时间数字转换器(TDC)码密度校准信号产生方法,该方法基于相干采样理论,通过合理设置TDC主时钟和校准信号之间的频率差,结合输出信号保持电路,产生校准用的随机信号,在码密度校准过程中,随机信号均匀分布在TDC的延时路径上,实现对TDC的bin-by-bin校准。基于Xilinx公司的28 nm工艺的Kintex-7 现场可编程门阵列(FPGA)内部的进位链实现一种plain TDC,利用该方法校准plain TDC的码宽(抽头延迟时间),研究校准了2抽头方式下的TDC的性能参数,时间分辨率(对应TDC的最低有效位,Least Significant Bit, LSB)为24.9 ps,微分非线性为(–0.84~3.1)LSB,积分非线性为(–5.0~2.2)LSB。文中所述的校准方法采用时钟逻辑资源实现,多次测试考核结果表明,单个延时单元的标准差优于0.5 ps。该校准方法采用时钟逻辑资源代替组合逻辑资源,重复性、稳定性较好,实现了对plain TDC的高精度自动校准。该方法同样适用于其他类型的TDC的码密度校准。

引用本文:李海涛, 李斌康, 田耕, 阮林波, 赵前, 吕宗璟. 一种通用的时间数字转换器码密度校准信号产生方法及其实现[J]. 电子与信息学报, 2021, 43(8): 2121-2127. doi: 10.11999/JEIT200769



美 编:陈 倩

校 对:马秀强、余 蓉、刘艳玲

审 核:陈 倩

声 明

本文系《电子与信息学报》独家稿件,内容仅供学习交流,版权属于原作者。

欢迎评论、转载和分享本公众号原创内容,转载请与本号联系授权,标注原作者和信息来源《电子与信息学报》。

本号发布信息旨在传播交流。如涉及文字、图片、版权等问题,请在20日内与本号联系,我们将第一时间处理。《电子与信息学报》拥有最终解释权。





往期回顾Previous review

佳文

佳文拾萃之通信与物联网(2020~2021年30篇好文推荐)

佳文拾萃之雷达与声呐(2020~2021年100篇好文推荐)上篇

佳文拾萃之雷达与声呐(2020~2021年100篇好文推荐)下篇

佳文拾萃之网络空间安全(2020~2021年50篇好文推荐)

佳文选读 | 基于时频检测与极化匹配的雷达无人机检测方法

佳文选读 | 面向6G物联网的分布式译码技术

佳文选读 | 基于图像分割网络的深度假脸视频篡改检测

新闻

《电子与信息学报》对河南省稿件免除一切费用!

《电子与信息学报》关于学术不端论文的认定和处理办法

中科院空天信息创新研究院2022年校园招聘

第五届“中科星图杯”国际高分遥感图像解译大赛火热启动

北大核心期刊目录发布!《电子与信息学报》蝉联无线电电子学、电信技术类排名榜首!

征文

《电子与信息学报》微信公众号征文通知

“人工智能芯片与算法前沿技术”专刊征文通知

后摩尔时代集成电路颠覆性技术”专题征文通知

“面向智能电网应用的信息处理关键技术研究”专题征文通知

天地融合智能组网技术”专题征文通知

"面向6G的异构网络融合技术"专题征文通知

"面向6G的可见光通信"专题征文通知

“电磁超构表面理论与应用”专题征文通知

“水声通信与信号处理”专题征文通知

“面向6G服务的智能超表面通信”专题征文通知

“面向6G的无人机通信”专题征文通知

"脑机接口与人机交互”专题征文通知

“超宽带雷达及其应用技术”专题征文通知

“智能制造算法与系统”专题征文通知

"恶劣环境下的图像处理技术"专题

"深度学习与智慧医疗"专题

系列

"E家之言”系列在线论坛

学霸是怎样炼成的?北大学霸刘小青

学霸是怎样炼成的?——初心如磐、勤奋务实:中科院张衡

电子与信息类高考志愿填报·指南针(一)

电子与信息类高考志愿填报·指南针(二)

电子与信息类高考志愿填报·指南针(三)


微信群


为促进学术交流,拉进编辑团队和作者、读者、专家之间的距离,电子与信息学报2021年开通4个微信学术讨论群:

1

电路与系统技术

2

通信与信号信息处理

3

自动化与模式识别

4

网络与信息安全


订阅号输入关键词“微信群”,可加编辑部微信邀请入群(告知编辑您的意向群),进群后请更改昵称为“真实姓名+单位”。

中国科学院空天信息创新研究院
期刊新媒体传播矩阵



您可能也对以下帖子感兴趣

文章有问题?点此查看未经处理的缓存